|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 65 occurrences of 57 keywords
|
|
|
Results
Found 57 publication records. Showing 57 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
52 | Lain-Chyr Hwang, Yung-Shan Chou, Haun-Ying Lo |
Global Random Early Estimation for Nipping Cells in ATM Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMSE ![In: 2000 International Symposium on Multimedia Software Engineering, ISMSE 2000, Taipei, Taiwan, December 11-13, 2000, pp. 142-145, 2000, IEEE Computer Society, 0-7695-0933-9. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Multimedia communication networks, Global Random Early Estimation for Nipping, Buffer management, Quality of Service control |
30 | Fabian Vargas 0001, Alexandre M. Amory |
Transient-fault tolerant VHDL descriptions: a case-study for area overhead analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, pp. 417-422, 2000, IEEE Computer Society, 0-7695-0887-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
transient-fault tolerant VHDL descriptions, area overhead analysis, reliable complex circuit design, harmful environments, reliability level, early-estimation, maximum area overhead, redundancy insertion, application minimum reliability requirement, FT-PRO tool, fault tolerant computing, redundancy, microprocessor, integrated circuit design, circuit CAD, CAD tool, transients, reliability estimation, memory elements, integrated circuit reliability, fault-tolerant circuit |
29 | Tridas Mukhopadhyay, Sunder Kekre |
Software Effort Models for Early Estimation of Process Control Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 18(10), pp. 915-924, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
early estimation, process control applications, lines of code, function counts, user application features, constructive cost model, function-points-based approach, application features, productivity factors, software effort, least error, software engineering a, software cost estimation, software cost estimation, process control systems, feature-based models, software development lifecycle, process computer control |
24 | Nachiappan Nagappan, Laurie A. Williams, Mladen A. Vouk, Jason A. Osborne |
Early estimation of software quality using in-process testing metrics: a controlled case study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGSOFT Softw. Eng. Notes ![In: ACM SIGSOFT Softw. Eng. Notes 30(4), pp. 1-7, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
software field quality, empirical software engineering, multiple regression, testing metrics |
22 | Nachiappan Nagappan, Thomas Ball, Brendan Murphy |
Using Historical In-Process and Product Metrics for Early Estimation of Software Failures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSRE ![In: 17th International Symposium on Software Reliability Engineering (ISSRE 2006), 7-10 November 2006, Raleigh, North Carolina, USA, pp. 62-74, 2006, IEEE Computer Society, 0-7695-2684-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
19 | Haifeng Qian, Sani R. Nassif, Sachin S. Sapatnekar |
Early-stage power grid analysis for uncertain working modes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2004 International Symposium on Physical Design, ISPD 2004, Phoenix, Arizona, USA, April 18-21, 2004, pp. 132-137, 2004, ACM, 1-58113-817-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
early estimation, supply network, random walk, power grid |
17 | Yanming Jia, Yici Cai, Xianlong Hong |
Dummy fill aware buffer insertion during routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007, pp. 31-36, 2007, ACM, 978-1-59593-605-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
routing, VLSI, DFM, buffer insertion, dummy fill |
17 | Reinaldo A. Bergamaschi |
Early and accurate analysis of SoCs: oxymoron or real? ![Search on Bibsonomy](Pics/bibsonomy.png) |
SLIP ![In: The Sixth International Workshop on System-Level Interconnect Prediction (SLIP 2004), Paris, France, February 14-15, 2004, Proceedings, pp. 3-6, 2004, ACM, 1-58113-818-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
performance, power, design space exploration, floorplanning, design analysis |
15 | Mark Sherriff, Nachiappan Nagappan, Laurie A. Williams, Mladen A. Vouk |
Early estimation of defect density using an in-process Haskell metrics model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGSOFT Softw. Eng. Notes ![In: ACM SIGSOFT Softw. Eng. Notes 30(4), pp. 1-6, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
software quality, haskell, empirical software engineering, multiple regression |
15 | Mark Sherriff, Nachiappan Nagappan, Laurie A. Williams, Mladen A. Vouk |
Early estimation of defect density using an in-process Haskell metrics model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
A-MOST ![In: Proceedings of the ICSE 2005 Workshop on Advances in Model-Based Software Testing, A-MOST 2005, St. Louis, Missouri, USA, 2005, ACM, 1-59593-115-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
software quality, haskell, empirical software engineering, multiple regression |
13 | Hector Posadas, Jesús Ádamez, Pablo Sánchez, Eugenio Villar, Francisco Blasco |
POSIX modeling in SystemC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006, pp. 485-490, 2006, IEEE, 0-7803-9451-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Vojislav B. Misic, Dejan N. Tesic |
Downsizing the Estimation of Software Quality: A Small Object-Oriented Case Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TOOLS (27) ![In: TOOLS 1998: 27th International Conference on Technology of Object-Oriented Languages and Systems, 22-25 September 1998, Beijing, China, pp. 308-317, 1998, IEEE Computer Society, 0-8186-9096-8. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
software quality, software measurement, quality models, object-oriented software |
10 | David Jacob Kedziora, Anna Musial, Wojciech Rudno-Rudzinski, Bogdan Gabrys |
Harnessing data augmentation to quantify uncertainty in the early estimation of single-photon source quality. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mach. Learn. Sci. Technol. ![In: Mach. Learn. Sci. Technol. 4(4), pp. 45042, December 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
10 | Paolo Di Giamberardino, Daniela Iacoviello |
Kalman filtering approach for early estimation of the number of hidden HIV infected patients. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSTCC ![In: 26th International Conference on System Theory, Control and Computing , ICSTCC 2022, Sinaia, Romania, October 19-21, 2022, pp. 570-575, 2022, IEEE, 978-1-6654-6746-9. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
10 | Kosal Khun, Nicolas Tremblay, Bernard Panneton, Philippe Vigneault, Etienne Lord, François Cavayas, Claude Codjia |
Use of Oblique RGB Imagery and Apparent Surface Area of Plants for Early Estimation of Above-Ground Corn Biomass. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Remote. Sens. ![In: Remote. Sens. 13(20), pp. 4032, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
10 | Kiran Kumar Eepuri, P. V. V. Kishore, Dande Anil Kumar, Maddala Teja Kiran Kumar |
Early estimation model for 3D-discrete indian sign language recognition using graph matching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. King Saud Univ. Comput. Inf. Sci. ![In: J. King Saud Univ. Comput. Inf. Sci. 33(7), pp. 852-864, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
10 | Junqi Huang, T. Nandha Kumar, Haider Abbas F. Almurib |
Generic Expressions for Early Estimation of Performance of Binary Multipliers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IICAIET ![In: 3rd IEEE International Conference on Artificial Intelligence in Engineering and Technology, IICAIET 2021, Kota Kinabalu, Malaysia, September 13-15, 2021, pp. 1-6, 2021, IEEE, 978-1-6654-2899-6. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
10 | Damien Christophe Jacques, Pierre Defourny |
Accuracy Requirements for Early Estimation of Crop Production in Senegal. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1906.03627, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP BibTeX RDF |
|
10 | Motoki Kojima, Jun Miura |
Early Estimation of User's Intention of Tele-Operation Using Object Affordance and Hand Motion in a Dual First-Person Vision. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1910.02201, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP BibTeX RDF |
|
10 | Luigi Lavazza, Sandro Morasca |
Empirical evaluation and proposals for bands-based COSMIC early estimation methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Inf. Softw. Technol. ![In: Inf. Softw. Technol. 109, pp. 108-125, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
10 | Gül Tokdemir, Nergiz Ercil Cagiltay |
Investigating the Relationship Between SLOC and Logical Database Measures to Improve the Early Estimation of Software Cost. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Softw. Eng. Knowl. Eng. ![In: Int. J. Softw. Eng. Knowl. Eng. 29(3), pp. 401-413, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
10 | Hassan Soubra, Toufik Azib |
Functional Size Measurement for Energy Needs early Estimation in Autonomous Drones. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWSM-Mensura ![In: Proceedings of the Academic Papers at IWSM Mensura 2018 `COSMIC Function Points - Fundamentals for Software Effort Estimation` held in conjunction with The China Software Cost Measurement Conference (CSCM 2018), Beijing, China, September 19-20, 2018., pp. 48-53, 2018, CEUR-WS.org. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
10 | Chiara Sandionigi, Maurício Altieri, Olivier Héron |
Early estimation of aging in the design flow of integrated circuits through a programmable hardware module. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2017, Cambridge, United Kingdom, October 23-25, 2017, pp. 1-6, 2017, IEEE Computer Society, 978-1-5386-0362-8. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
10 | Luigi Lavazza, Sandro Morasca |
An Empirical Evaluation of Two COSMIC Early Estimation Methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWSM-Mensura ![In: 2016 Joint Conference of the International Workshop on Software Measurement and the International Conference on Software Process and Product Measurement, IWSM-MENSURA 2016, Berlin, Germany, October 5-7, 2016, pp. 65-74, 2016, IEEE Computer Society, 978-1-5090-4147-3. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
10 | Sergiu Zaporojan, Vitalie Secrieru, Valerian Dorogan, Vasile Moraru |
Early estimation of gain/loss in quality in designing the embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMM ![In: International Conference on Communications, COMM 2016, Bucharest, Romania, June 9-10, 2016, pp. 361-366, 2016, IEEE, 978-1-4673-8197-0. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
10 | Andrws Vieira, Pedro Faustini, Luigi Carro, Érika F. Cota |
NFRs early estimation through software metrics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE 2015, Grenoble, France, March 9-13, 2015, pp. 329-332, 2015, ACM, 978-3-9815370-4-8. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP BibTeX RDF |
|
10 | Andrws Vieira, Pedro Faustini, Luigi Carro, Érika F. Cota |
Early Estimation of NFRs for Embedded System Using Design Metrics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBESC ![In: 2014 Brazilian Symposium on Computing Systems Engineering, SBESC 2014, Manaus, Amazonas, Brazil, November 3-7, 2014, pp. 85-90, 2014, IEEE Computer Society, 978-1-4799-8559-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
10 | Dominik Ascher, Georg Hackenberg |
Early estimation of multi-objective traffic flow. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCVE ![In: International Conference on Connected Vehicles and Expo, ICCVE 2014, Vienna, Austria, November 3-7, 2014, pp. 1056-1057, 2014, IEEE, 978-1-4799-6729-2. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
10 | Thomas Walworth, Mike Yearworth, John Davis, Paul Davies |
Early estimation of project performance: The application of a system dynamics rework model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SysCon ![In: IEEE International Systems Conference, SysCon 2013, Orlando, FL, USA, April 15-18, 2013, pp. 204-208, 2013, IEEE, 978-1-4673-3107-4. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
10 | Adrian Matoga, Ricardo Chaves, Pedro Tomás, Nuno Roma |
A flexible shared library profiler for early estimation of performance gains in heterogeneous systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCS ![In: International Conference on High Performance Computing & Simulation, HPCS 2013, Helsinki, Finland, July 1-5, 2013, pp. 461-470, 2013, IEEE, 978-1-4799-0836-3. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
10 | Krishna Chaitanya Nunna, Farhad Mehdipour, Masayoshi Yoshimura, Kazuaki J. Murakami |
Methodology for early estimation of hierarchical routing resources in 3D FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI-SoC ![In: 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, VLSI-SoC 2012, Santa Cruz, CA, USA, October 7-10, 2012, pp. 213-218, 2012, IEEE, 978-1-4673-2657-5. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
10 | Alexandre M. Amory, Cristiano Lazzari, Marcelo Lubaszewski, Fernando Gehm Moraes |
Early estimation of wire length for dedicated test access mechanisms in networks-on-chip based SoCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: 24th Symposium on Integrated Circuits and Systems Design, SBCCI '11, João Pessoa, Brazil, August 30 - September 2, 2011, pp. 73-78, 2011, ACM, 978-1-4503-0828-1. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
10 | Ashish Sharma, Dharmender Singh Kushwaha |
Early estimation of software complexity using requirement engineering document. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGSOFT Softw. Eng. Notes ![In: ACM SIGSOFT Softw. Eng. Notes 35(5), pp. 1-7, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
10 | Nauman H. Khan, Sherief Reda, Soha Hassoun |
Early estimation of TSV area for power delivery in 3-D integrated circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
3DIC ![In: IEEE International Conference on 3D System Integration, 3DIC 2010, Munich, Germany, 16-18 November 2010, pp. 1-6, 2010, IEEE, 978-1-4577-0526-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
10 | Marco Ronchetti, Giancarlo Succi, Witold Pedrycz, Barbara Russo |
Early estimation of software size in object-oriented environments a case study in a CMM level 3 software firm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Inf. Sci. ![In: Inf. Sci. 176(5), pp. 475-489, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Dimitris Stavrinoudis, Michalis Nik Xenos, Pavlos Peppas, Dimitris Christodoulakis |
Early Estimation of Users' Perception of Software Quality. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Softw. Qual. J. ![In: Softw. Qual. J. 13(2), pp. 155-175, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
software quality measurements, users opinion, ISO9126, Groves System of Spheres, belief revision, quality characteristics |
10 | Nachiappan Nagappan, Laurie A. Williams, Mladen A. Vouk, Jason A. Osborne |
Early estimation of software quality using in-process testing metrics: a controlled case study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WoSQ@ICSE ![In: Proceedings of the third workshop on Software quality, WoSQ@ICSE 2005, St. Louis, Missouri, USA, May 17, 2005, pp. 46-52, 2005, ACM, 1-59593-122-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | William Fornaciari, Fabio Salice, Daniele Paolo Scarpazza |
Early estimation of the size of VHDL projects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2003, Newport Beach, CA, USA, October 1-3, 2003, pp. 207-212, 2003, ACM, 1-58113-742-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
VHDL analysis, embedded systems, cost estimation, system-level design, design metrics |
10 | Sara Jones |
3-D Diagrams for Knowledge Engineering: An Early Estimation of Utility. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (2) ![In: Human-Computer Interaction: Software and Hardware Interfaces, Proceedings of the Fifth International Conference on Human-Computer Interaction, (HCI International '93), Orlando, Florida, USA, August 8-13, 1993, Volume 2, pp. 561-566, 1993, Elsevier. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP BibTeX RDF |
|
9 | Maurizio Martina, Guido Masera |
A statistical model for estimating the effect of process variations on crosstalk noise. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SLIP ![In: The Sixth International Workshop on System-Level Interconnect Prediction (SLIP 2004), Paris, France, February 14-15, 2004, Proceedings, pp. 115-120, 2004, ACM, 1-58113-818-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
statistical analysis, interconnects modeling |
9 | Lilian Bossuet, Wayne P. Burleson, Guy Gogniat, Vikas Anand, Andrew Laffely, Jean Luc Philippe |
Targeting Tiled Architectures in Design Exploration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings, pp. 172, 2003, IEEE Computer Society, 0-7695-1926-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
9 | Helvio P. Peixoto, Margarida F. Jacome, Ander Royo |
A Tight Area Upper Bound for Slicing Floorplans. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 13th International Conference on VLSI Design (VLSI Design 2000), 4-7 January 2000, Calcutta, India, pp. 280-, 2000, IEEE Computer Society, 0-7695-0487-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Early area estimation, slicing floorplan, system level design |
9 | Chang-Han Yi, Robert Schlabbach, Holger Kroth, Heinrich Klar |
A New Bio-inspired Algorithm for Early Vision Edge Detection and Image Segmentation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWANN ![In: Biological and Artificial Computation: From Neuroscience to Technology, International Work-Conference on Artificial and Natural Neural Networks, IWANN '97, Lanzarote, Canary Islands, Spain, June 4-6, 1997, Proceedings, pp. 1107-1114, 1997, Springer, 3-540-63047-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
8 | Giovanni Agosta, Francesco Bruschi, Donatella Sciuto |
Static Analysis of Transaction-Level Communication Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(8), pp. 1412-1424, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
8 | Prashant Agrawal, Srinivasa R. S. T. G, Ajit N. Oke, Saurabh Vijay |
A Scalable Modeling Technique to Estimate Dynamic Thermal Design Power of Datapath Intensive Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), May 9-11, 2007, Porto Alegre, Brazil, pp. 389-394, 2007, IEEE Computer Society, 0-7695-2896-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
8 | Shilpa Bhoj, Dinesh Bhatia |
Pre-route Interconnect Capacitance and Power Estimation in FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: FPL 2007, International Conference on Field Programmable Logic and Applications, Amsterdam, The Netherlands, 27-29 August 2007, pp. 159-164, 2007, IEEE, 1-4244-1060-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
6 | Mar Hershenson |
Design platform for electrical and physical co-design of analog circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2010 International Symposium on Physical Design, ISPD 2010, San Francisco, California, USA, March 14-17, 2010, pp. 45, 2010, ACM, 978-1-60558-920-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
design, analog, co-design |
6 | Chiyoung Seo, George Edwards, Sam Malek, Nenad Medvidovic |
A Framework for Estimating the Impact of a Distributed Software System's Architectural Style on its Energy Consumption. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WICSA ![In: Seventh Working IEEE / IFIP Conference on Software Architecture (WICSA 2008), 18-22 February 2008, Vancouver, BC, Canada, pp. 277-280, 2008, IEEE Computer Society, 978-0-7695-3092-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
component-based distributed systems, Architectural styles, energy estimation |
6 | Wolfgang Holz, Rahul Premraj, Thomas Zimmermann 0001, Andreas Zeller |
Predicting Software Metrics at Design Time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PROFES ![In: Product-Focused Software Process Improvement, 9th International Conference, PROFES 2008, Monte Porzio Catone, Italy, June 23-25, 2008, Proceedings, pp. 34-44, 2008, Springer, 978-3-540-69564-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
6 | Haytham Elmiligi, M. Watheq El-Kharashi, Fayez Gebali |
Modeling and Implementation of an Output-Queuing Router for Networks-on-Chips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICESS ![In: Embedded Software and Systems, [Third] International Conference, ICESS 2007, Daegu, Korea, May 14-16, 2007, Proceedings, pp. 241-248, 2007, Springer, 978-3-540-72684-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
6 | Mark A. Erle, Michael J. Schulte, Brian J. Hickmann |
Decimal Floating-Point Multiplication Via Carry-Save Addition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Symposium on Computer Arithmetic ![In: 18th IEEE Symposium on Computer Arithmetic (ARITH-18 2007), 25-27 June 2007, Montpellier, France, pp. 46-55, 2007, IEEE Computer Society, 0-7695-2854-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
6 | Antonia Bertolino, Alvise Bonivento, Guglielmo De Angelis, Alberto L. Sangiovanni-Vincentelli |
Modeling and Early Performance Estimation for Network Processor Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MoDELS ![In: Model Driven Engineering Languages and Systems, 9th International Conference, MoDELS 2006, Genova, Italy, October 1-6, 2006, Proceedings, pp. 753-767, 2006, Springer, 3-540-45772-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
6 | Tianyi Jiang, Xiaoyong Tang, Prithviraj Banerjee |
Macro-models for high level area and power estimation on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, Boston, MA, USA, April 26-28, 2004, pp. 162-165, 2004, ACM, 1-58113-853-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
model, FPGA, high-level synthesis, power estimation, RTL, area estimation |
6 | Prashant Saxena, Satyanarayan Gupta |
On integrating power and signal routing for shield count minimization in congested regions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(4), pp. 437-445, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
6 | Maogang Wang, Abhishek Ranjan, Salil Raje |
Multi-Million Gate FPGA Physical Design Challenges. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2003 International Conference on Computer-Aided Design, ICCAD 2003, San Jose, CA, USA, November 9-13, 2003, pp. 891-899, 2003, IEEE Computer Society / ACM, 1-58113-762-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
6 | Ralf Ludewig, Alberto García Ortiz, Tudor Murgan, Juan Jesús Ocampo Hidalgo, Manfred Glesner |
Emulation of Analog Components for the Rapid Prototyping of Wireless Baseband Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE International Workshop on Rapid System Prototyping ![In: 14th IEEE International Workshop on Rapid System Prototyping (RSP 2003), 9-11 June 2003, San Diego, CA, USA, pp. 172-178, 2003, IEEE Computer Society, 0-7695-1943-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
6 | Tianpei Zhang, Sachin S. Sapatnekar |
Optimized pin assignment for lower routing congestion after floorplanning phase. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SLIP ![In: The Fourth IEEE/ACM International Workshop on System-Level Interconnect Prediction (SLIP 2002), April 6-7, 2002, San Diego, California, USA, Proceedings, pp. 17-21, 2002, ACM. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #57 of 57 (100 per page; Change: )
|
|