Results
Found 300 publication records. Showing 300 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
116 | Dan R. Olsen, Stephen Bart Wood |
Fan-out: measuring human control of multiple robots. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI ![In: Proceedings of the 2004 Conference on Human Factors in Computing Systems, CHI 2004, Vienna, Austria, April 24 - 29, 2004, pp. 231-238, 2004, ACM, 1-58113-702-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
human-robot interaction, fan-out, multiple robots |
108 | Kolar L. Kodandapani, Sharad C. Seth |
On Combinational Networks with Restricted Fan-Out. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 27(4), pp. 309-318, 1978. The full citation details ...](Pics/full.jpeg) |
1978 |
DBLP DOI BibTeX RDF |
single fault location, Characterization of fan-out-free networks, counting of fan-out-free, fan-out-free networks, localized fan outs, functional decomposition, combinational networks, multiple fault detection |
94 | Yen-Chun Lin, Li-Ling Hung |
Straightforward construction of depth-size optimal, parallel prefix circuits with fan-out 2. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 14(1), pp. 15:1-15:13, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Depth-size optimal, parallel prefix circuits, fan-out |
84 | Yen-Chun Lin, Yao-Hsien Hsu, Chun-Keng Liu |
Constructing H4, a Fast Depth-Size Optimal Parallel Prefix Circuit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 24(3), pp. 279-304, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
depth-size optimal, prefix circuits, size optimal, parallel algorithms, depth, fan-out |
73 | Yen-Chun Lin, Jun-Wei Hsiao |
A New Approach to Constructing Optimal Prefix Circuits with Small Depth. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: International Symposium on Parallel Architectures, Algorithms and Networks, ISPAN 2002, May 22-24, 2002, Makati City, Metro Manila, Philippines, pp. 99-104, 2002, IEEE Computer Society, 0-7695-1579-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
depth-size optimal, prefix circuits, parallel algorithms, fan-out |
72 | Yen-Chun Lin, Chao-Cheng Shih |
A New Class of Depth-Size Optimal Parallel Prefix Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 14(1), pp. 39-52, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
depth-size optimal, unbounded fan-out, VLSI, Combinational circuits, parallel prefix |
61 | Peter Høyer, Robert Spalek |
Quantum Circuits with Unbounded Fan-out. ![Search on Bibsonomy](Pics/bibsonomy.png) |
STACS ![In: STACS 2003, 20th Annual Symposium on Theoretical Aspects of Computer Science, Berlin, Germany, February 27 - March 1, 2003, Proceedings, pp. 234-246, 2003, Springer, 3-540-00623-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
59 | Thomas H. Spencer, Jacob Savir |
Layout Influences Testability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 34(3), pp. 287-290, 1985. The full citation details ...](Pics/full.jpeg) |
1985 |
DBLP DOI BibTeX RDF |
reconvergent fan-out, Boolean difference, layout, masking, fan-out |
57 | Ramachandran Vaidyanathan, Sudharani Nadella |
Fault-Tolerant Multiple Bus Networks for Fan-In Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: Proceedings of IPPS '96, The 10th International Parallel Processing Symposium, April 15-19, 1996, Honolulu, Hawaii, USA, pp. 674-681, 1996, IEEE Computer Society, 0-8186-7255-2. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Multiple bus networks, Fan-in algorithms, Fault-tolerance, Parallel processing, Interconnection networks |
54 | Shrirang K. Karandikar, Sachin S. Sapatnekar |
Technology Mapping Using Logical Effort for Solving the Load-Distribution Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(1), pp. 45-58, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
54 | Xiaohong Jiang 0001, Achille Pattavina, Susumu Horiguchi |
Rearrangeable f-cast multi-log2 N networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Commun. ![In: IEEE Trans. Commun. 56(11), pp. 1929-1938, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
54 | Pratul Dublish, Joachim Biskup, Yehoshua Sagiv |
Optimizatioin of a Subclass of Conjunctive Queries. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDT ![In: ICDT'90, Third International Conference on Database Theory, Paris, France, December 12-14, 1990, Proceedings, pp. 455-469, 1990, Springer, 3-540-53507-1. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
50 | Payman Zarkesh-Ha, Jeffrey A. Davis, William Loh, James D. Meindl |
Prediction of interconnect fan-out distribution using Rent's rule. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SLIP ![In: The Second IEEE/ACM International Workshop on System-Level Interconnect Prediction (SLIP 2000), April 8-9, 2000, San Diego, California, USA, Proceedings, pp. 107-112, 2000, ACM. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
fan-out distribution, interconnect network prediction, Rent's rule |
50 | K. Lai, Parag K. Lala |
Multiple Fault Detection in Fan-Out Free Circuits Using Minimal Single Fault Test Set. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 45(6), pp. 763-765, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
fan-out-free circuit, output count, test generation, Multiple faults |
50 | Thomas Nowotny, Ramón Huerta, Henry D. I. Abarbanel, Mikhail I. Rabinovich |
Self-organization in the olfactory system: one shot odor recognition in insects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Biol. Cybern. ![In: Biol. Cybern. 93(6), pp. 436-446, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Synaptic convergence, Information coding, Pattern recognition, Olfaction, Fan-out, Fan-in |
50 | Clark F. Olson |
Connectionist networks for feature indexing and object recognition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CVPR ![In: 1996 Conference on Computer Vision and Pattern Recognition (CVPR '96), June 18-20, 1996 San Francisco, CA, USA, pp. 907-912, 1996, IEEE Computer Society, 0-8186-7258-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
feature indexing, feature extraction, object recognition, object recognition, image features, feedforward neural nets, geometric hashing, fan-out, fan-in, connectionist networks |
49 | Zhide Zeng, Jihua Chen, Pengxia Liu |
A Fault Partitioning Method in Parallel Test Generation for Large Scale VLSI Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 8th Asian Test Symposium (ATS '99), 16-18 November 1999, Shanghai, China, pp. 133-, 1999, IEEE Computer Society, 0-7695-0315-2. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Parallel Test Generation, Fault Parallelism, Fault Partitioning, Output Fan-in Cones, Input Fan-out Cones, Speed-up Ratio |
49 | Keerthi Heragu, Janak H. Patel, Vishwani D. Agrawal |
Improving accuracy in path delay fault coverage estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 9th International Conference on VLSI Design (VLSI Design 1996), 3-6 January 1996, Bangalore, India, pp. 422-425, 1996, IEEE Computer Society, 0-8186-7228-5. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
fault coverage estimation, simulated vector pair, exact fault simulation, fixed-length path-segments, fan-in branches, fan-out branches, flagged path-segments, segment lengths, combinational paths, graph theory, fault diagnosis, logic testing, delays, combinational circuits, logic CAD, circuit analysis computing, path delay fault, approximate methods, CPU time |
45 | Sandra Mau, John M. Dolan |
Scheduling for humans in multirobot supervisory control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2007 IEEE/RSJ International Conference on Intelligent Robots and Systems, October 29 - November 2, 2007, Sheraton Hotel and Marina, San Diego, California, USA, pp. 1637-1643, 2007, IEEE, 978-1-4244-0912-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
44 | Nahmsuk Oh, Rohit Kapur, Thomas W. Williams, Jim Sproch |
Test Pattern Compression Using Prelude Vectors in Fan-Out Scan Chain with Feedback Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2003 Design, Automation and Test in Europe Conference and Exposition (DATE 2003), 3-7 March 2003, Munich, Germany, pp. 10110-10115, 2003, IEEE Computer Society, 0-7695-1870-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
43 | Pratul Dublish, S. N. Maheshwari |
On O(N²) Equivalence Algorithm for Fan-Out Free Queries. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDT ![In: ICDT'88, 2nd International Conference on Database Theory, Bruges, Belgium, August 31 - September 2, 1988, Proceedings, pp. 176-190, 1988, Springer, 3-540-50171-1. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
43 | Pratul Dublish, S. N. Maheshwari |
An O(n²) Algorithm for Fan-Out Free Query Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FSTTCS ![In: Foundations of Software Technology and Theoretical Computer Science, Seventh Conference, Pune, India, December 17-19, 1987, Proceedings, pp. 242-264, 1987, Springer, 3-540-18625-5. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
43 | Tanja Braun, K.-F. Becker, S. Raatz, V. Bader, Jörg Bauer 0002, Rolf Aschenbrenner, S. Voges, Tina Thomas, R. Kahle, Klaus-Dieter Lang |
From fan-out wafer to fan-out panel level packaging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECCTD ![In: European Conference on Circuit Theory and Design, ECCTD 2015, Trondheim, Norway, August 24-26, 2015, pp. 1-4, 2015, IEEE, 978-1-4799-9877-7. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
41 | Hosam M. Mahmoud, Tatsuie Tsukiji |
Limit laws for terminal nodes in random circuits with restricted fan-out: a family of graphs generalizing binary search trees. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Acta Informatica ![In: Acta Informatica 41(2-3), pp. 99-110, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
41 | Jacob W. Crandall, Mary L. Cummings |
Developing performance metrics for the supervisory control of multiple robots. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HRI ![In: Proceedings of the Second ACM SIGCHI/SIGART Conference on Human-Robot Interaction, HRI 2007, Arlington, Virginia, USA, March 10-12, 2007, pp. 33-40, 2007, ACM, 978-1-59593-617-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
multi-robot teams, supervisory control, fan-out |
40 | Toru Asano, Joel Silberman, Sang H. Dhong, Osamu Takahashi, Michael White, Scott R. Cottier, Takaaki Nakazato, Atsushi Kawasumi, Hiroshi Yoshihara |
Low-Power Design Approach of 11FO4 256-Kbyte Embedded SRAM for the Synergistic Processor Element of a Cell Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 25(5), pp. 30-38, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
11 fan-out of four, 11FO4, Synergistic Processor Element, private memory, streaming processing, Cell processor, multimedia processing, scratch pad memory |
40 | Manjit Borah, Mary Jane Irwin, Robert Michael Owens |
Minimizing power consumption of static CMOS circuits by transistor sizing and input reordering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 8th International Conference on VLSI Design (VLSI Design 1995), 4-7 January 1995, New Delhi, India, pp. 294-298, 1995, IEEE Computer Society, 0-8186-6905-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
power consumption minimisation, static CMOS circuits, input reordering, high fan-out gates, power constrained module generator, PowerSizer, logic CAD, circuit layout CAD, CMOS logic circuits, logic circuits, minimisation, arithmetic circuits, circuit optimisation, integrated circuit layout, transistor sizing |
35 | Keshuo Sun, Haiying Gao |
Adaptively secure CP-ABE for circuits with fan-in n and fan-out 1. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 79(12), pp. 13307-13340, August 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
35 | Keshuo Sun, Haiying Gao |
Adaptively Secure KP-ABE For Circuits With Fan-In n And Fan-Out 1. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. J. ![In: Comput. J. 66(10), pp. 2554-2573, October 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
35 | Min Yang, Chengkun Cai, Yize Liang, Lei Shen, Yanjun Zhu, Hua Zhang, Chaonan Yao, Yuchen Shao, Lei Zhang, Changkun Yan, Liubo Yang, Ruichun Wang, Jun Chu, Jian Wang 0060 |
19-Core SDM Self-Homodyne Coherent Transmission using Fan-In/Fan-Out Photonic Lantern. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OFC ![In: Optical Fiber Communications Conference and Exhibition, OFC 2023, San Diego, CA, USA, March 5-9, 2023, pp. 1-3, 2023, IEEE, 978-1-957171-18-0. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
35 | Kohei Ozaki, Yoshifumi Koike, Akito Nishimura |
Bundle-type fan-in/fan-out device for 4-core multi-core fiber with high return loss. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OFC ![In: Optical Fiber Communications Conference and Exhibition, OFC 2023, San Diego, CA, USA, March 5-9, 2023, pp. 1-3, 2023, IEEE, 978-1-957171-18-0. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
35 | Yang Wang, Xutao Wang, Zhiqun Yang, Yaping Liu, Zhanhua Huang, Lin Zhang |
Fan-in/Fan-out for Heterogeneous 19-core Fibers Based on Metasurfaces with Nonuniform Phase Plates. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OFC ![In: Optical Fiber Communications Conference and Exhibition, OFC 2023, San Diego, CA, USA, March 5-9, 2023, pp. 1-3, 2023, IEEE, 978-1-957171-18-0. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
35 | Shadi Omranpour, Seyed Amir Hashemi |
Increasing fan-in and fan-out of the quantum phase slip junction-based logic gates. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Circuit Theory Appl. ![In: Int. J. Circuit Theory Appl. 50(9), pp. 3086-3103, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
35 | Junjie Xiong, Lin Ma 0008, Zuyuan He |
Low Loss All-Fiber Fan-in/Fan-out Device for Coupled-Core Four-Core Fibers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OECC/PSC ![In: 2022 27th OptoElectronics and Communications Conference (OECC) and 2022 International Conference on Photonics in Switching and Computing (PSC), Toyama, Japan, July 3-6, 2022, pp. 1-3, 2022, IEEE, 978-4-88552-336-6. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
35 | Takahiro Kikuchi, Osamu Shimakawa, Hidehisa Tazawa |
Low insertion loss and high return loss fiber bundle fan-in/fan-out for four-core multi-core fiber. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OECC/PSC ![In: 2022 27th OptoElectronics and Communications Conference (OECC) and 2022 International Conference on Photonics in Switching and Computing (PSC), Toyama, Japan, July 3-6, 2022, pp. 1-3, 2022, IEEE, 978-4-88552-336-6. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
35 | Masanori Takahashi, Kohei Kawasaki, Ryuichi Sugizaki, Yoshihiro Arashitani |
Fan-in/Fan-out for multicore fibers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OECC/PSC ![In: 2022 27th OptoElectronics and Communications Conference (OECC) and 2022 International Conference on Photonics in Switching and Computing (PSC), Toyama, Japan, July 3-6, 2022, pp. 1-3, 2022, IEEE, 978-4-88552-336-6. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
35 | Tomoaki Kiriyama, Katsuhiro Iwasaki, Katsuya Kito, Takashi Kato |
Free-Space Coupling Type Fan-in/Fan-out Device for 4-Core Fiber with Low Insertion Loss. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OFC ![In: Optical Fiber Communications Conference and Exhibition, OFC 2022, San Diego, CA, USA, March 6-10, 2022, pp. 1-3, 2022, IEEE, 978-1-55752-466-9. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP BibTeX RDF |
|
35 | Zhijie Yang, Lei Wang, Yao Wang, LingHui Peng, Xiaofan Chen, Xun Xiao, Yaohua Wang, Weixia Xu |
Unicorn: a multicore neuromorphic processor with flexible fan-in and unconstrained fan-out for neurons. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022, pp. 943-948, 2022, ACM, 978-1-4503-9142-9. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
35 | Haisong Jiang, Yasuhiro Hinokuma, Sampad Ghosh, Ryota Kuwahata, Kiichi Hamamoto |
Concept Demonstration of 3D Waveguides Shuffle Converter for Multi-Core Fiber/Single-Mode Fiber Fan-in Fan-out Configuration Toward Over 1, 000 Port Count. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Electron. ![In: IEICE Trans. Electron. 104-C(1), pp. 34-36, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
35 | Yi-Chun Ling, Shuyun Yuan, S. J. Ben Yoo |
Low-Loss Three-Dimensional Fan-in/Fan-out Devices for Multi-Core Fiber Integration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OFC ![In: Optical Fiber Communications Conference and Exhibition, OFC 2021, San Francisco, CA, USA, June 6-10, 2021, pp. 1-3, 2021, IEEE, 978-1-943580-86-6. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
35 | Taketoshi Takahata, Asumi Kaya, Yuta Ozawa, Yousuke Minagawa, Tetsuya Kobayashi |
High Reliability Fan-in / Fan-out Device with Isolator for Multi-core fibre Based on Free Space Optics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECOC ![In: European Conference on Optical Communication, ECOC 2021, Bordeaux, France, September 13-16, 2021, pp. 1-3, 2021, IEEE, 978-1-6654-3868-1. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
35 | Michael L. Schneider, K. Segall |
Fan-out and Fan-in properties of superconducting neuromorphic circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2008.06409, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
35 | Lin Gan, Jiajun Zhou, Li Shen, Xiancong Guo, Yanlin Wang, Chen Yang, Weijun Tong, Li Xia, Songnian Fu, Ming Tang 0005, Deming Liu |
Ultra-Low Crosstalk Fused Taper Type Fan-In/Fan-Out Devices for Multicore Fibers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OFC ![In: Optical Fiber Communications Conference and Exhibition, OFC 2019, San Diego, CA, USA, March 3-7, 2019, pp. 1-3, 2019, IEEE, 978-1-943580-53-8. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP BibTeX RDF |
|
35 | Juan Carlos Alvarado-Zacarias, Jose Enrique Antonio-Lopez, Md. Selim Habib, Stefan Gausmann, Ning Wang, Daniel Cruz-Delgado, Axel Schülzgen, Adrian Amezcua Correa, Louis-Anne de Montmorillon, Pierre Sillard, Rodrigo Amezcua Correa |
Low-Loss 19 Core Fan-in/Fan-out Device using Reduced-Cladding Graded Index Fibers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OFC ![In: Optical Fiber Communications Conference and Exhibition, OFC 2019, San Diego, CA, USA, March 3-7, 2019, pp. 1-3, 2019, IEEE, 978-1-943580-53-8. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP BibTeX RDF |
|
35 | Kota Shikama, Yoshiteru Abe, Toshiki Kishi, Koji Takeda, Takuro Fujii, Hidetaka Nishi, Takashi Matsui, Atsushi Aratake, Kazuhide Nakajima, Shinji Matsuo |
Multicore-Fiber LC Receptacle with Compact Fan-in/Fan-out for Short-Reach Transceivers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OFC ![In: Optical Fiber Communications Conference and Exposition, OFC 2018, San Diego, CA, USA, March 11-15, 2018, pp. 1-3, 2018, IEEE, 978-1-943580-38-5. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
35 | Kota Shikama, Yoshiteru Abe, Hirotaka Ono 0002, Atsushi Aratake |
Low-loss fiber-bundle-type fan-in/fan-out device for 6-mode 19-core fiber. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OFC ![In: Optical Fiber Communications Conference and Exhibition, OFC 2017, Los Angeles, CA, USA, March 19-23, 2017, pp. 1-3, 2017, IEEE, 978-1-9435-8023-1. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP BibTeX RDF |
|
35 | Yongmin Jung, John R. Hayes, Shaif-Ul Alam, David J. Richardson |
Multicore Fibre Fan-In/Fan-Out Device using Fibre Optic Collimators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECOC ![In: European Conference on Optical Communication, ECOC 2017, Gothenburg, Sweden, September 17-21, 2017, pp. 1-3, 2017, IEEE, 978-1-5386-5624-2. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
35 | Yusaku Tottori, Hiroshi Tsuboya, Tetsuya Kobayashi |
Multi functionality demonstration for multi core fiber fan-in/fan-out devices using free space optics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OFC ![In: Optical Fiber Communications Conference and Exhibition, OFC 2014, San Francisco, CA, USA, March 9-13, 2014, pp. 1-3, 2014, IEEE. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
35 | Yunhong Ding, Feihong Ye, Christophe Peucheret, Haiyan Ou, Yutaka Miyamoto, Toshio Morioka |
On-chip grating coupler array on the SOI platform for fan-in/fan-out of multi-core fibers with low insertion loss and crosstalk. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECOC ![In: The European Conference on Optical Communication, ECOC 2014, Cannes, France, September 21-25, 2014, pp. 1-3, 2014, IEEE. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
35 | Alessandro Murgia, Roberto Tonelli, Giulio Concas, Michele Marchesi, Steve Counsell |
Parameter-based refactoring and the relationship with fan-in/fan-out coupling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Object Technol. ![In: J. Object Technol. 11(2), pp. 7: 24, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
35 | Alessandro Murgia, Roberto Tonelli, Michele Marchesi, Giulio Concas, Steve Counsell, Janet McFall, Stephen Swift |
Refactoring and its Relationship with Fan-in and Fan-out: An Empirical Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSMR ![In: 16th European Conference on Software Maintenance and Reengineering, CSMR 2012, Szeged, Hungary, March 27-30, 2012, pp. 63-72, 2012, IEEE Computer Society, 978-1-4673-0984-4. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
35 | Asma Mubarak, Steve Counsell, Robert M. Hierons |
A Longitudinal Study of Fan-In and Fan-Out Coupling in Open-Source Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Inf. Syst. Model. Des. ![In: Int. J. Inf. Syst. Model. Des. 2(4), pp. 1-26, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
35 | Alessandro Murgia, Michele Marchesi, Giulio Concas, Roberto Tonelli, Steve Counsell |
Parameter-Based Refactoring and the Relationship with Fan-in/Fan-out Coupling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICST Workshops ![In: Fourth IEEE International Conference on Software Testing, Verification and Validation, ICST 2012, Berlin, Germany, 21-25 March, 2011, Workshop Proceedings, pp. 430-436, 2011, IEEE Computer Society, 978-0-7695-4345-1. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
35 | Emal Nasseri, Steve Counsell, Ewan D. Tempero |
An Empirical Study of Fan-In and Fan-Out in Java OSS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SERA ![In: Proceedings of the Eighth ACIS International Conference on Software Engineering Research, Management and Applications, SERA 2010, Montreal, Canada, May 24-26, 2010, pp. 36-41, 2010, IEEE Computer Society, 978-0-7695-4075-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Coupling, cohesion, empirical |
35 | Asma Mubarak, Steve Counsell, Robert M. Hierons |
An Evolutionary Study of Fan-in and Fan-out Metrics in OSS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RCIS ![In: Proceedings of the Fourth IEEE International Conference on Research Challenges in Information Science, RCIS 2010, Nice, France, May 19-21, 2010, pp. 473-482, 2010, IEEE, 978-1-4244-4840-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
35 | Yacoub M. El-Ziq |
Logic design automation of MOS combinational networks with fan-in, fan-out constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 15th Design Automation Conference, DAC '78, Las Vegas, Nevada, USA, June 19-21, 1978, pp. 240-249, 1978, ACM. The full citation details ...](Pics/full.jpeg) |
1978 |
DBLP BibTeX RDF |
|
35 | Stephen Y. H. Su, Chong-Woo Nam |
Computer-Aided Synthesis or Multiple-Output Multilevel NAND Networks witk Fan-in and Fan-out Constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 20(12), pp. 1445-1455, 1971. The full citation details ...](Pics/full.jpeg) |
1971 |
DBLP DOI BibTeX RDF |
|
35 | Gerhard L. Hollander |
Effect of Fan-In and Fan-Out Limitations on the Value of Computer Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Electron. Comput. ![In: IEEE Trans. Electron. Comput. 12(3), pp. 317-318, 1963. The full citation details ...](Pics/full.jpeg) |
1963 |
DBLP DOI BibTeX RDF |
|
32 | Shin-ichiro Tago, Shuichi Ueno |
Optimal Realization of Hypercubes by Three-Dimensional Space-Invariant Optical Interconnections. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: 1997 International Symposium on Parallel Architectures, Algorithms and Networks (ISPAN '97), 18-20 December 1997, Taipei, Taiwan, pp. 44-48, 1997, IEEE Computer Society, 0-8186-8259-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
32 | Michele Favalli, Piero Olivo, Bruno Riccò |
A novel critical path heuristic for fast fault grading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 10(4), pp. 544-548, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
32 | Fadi Maamari, Janusz Rajski |
A method of fault simulation based on stem regions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 9(2), pp. 212-220, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
32 | Jonathan M. Whetten, Michael A. Goodrich |
Specialization, fan-out, and multi-human/multi-robot supervisory control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HRI ![In: Proceedings of the 5th ACM/IEEE International Conference on Human Robot Interaction, HRI 2010, Osaka, Japan, March 2-5, 2010, pp. 147-148, 2010, ACM, 978-1-4244-4893-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
human-robot interaction, multi-user interface |
31 | Qi Zhao 0006, Jun (Jim) Xu, Abhishek Kumar 0003 |
Detection of Super Sources and Destinations in High-Speed Networks: Algorithms, Analysis and Evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Sel. Areas Commun. ![In: IEEE J. Sel. Areas Commun. 24(10), pp. 1840-1852, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Jinhui Chen, Lawrence T. Clark, Yu Cao |
Robust Design of High Fan-In/Out Subthreshold Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 23rd International Conference on Computer Design (ICCD 2005), 2-5 October 2005, San Jose, CA, USA, pp. 405-410, 2005, IEEE Computer Society, 0-7695-2451-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
30 | A. Yavuz Oruç, Ajai Thirumalai |
A Systematic Design of Cellular Permutation Arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 38(10), pp. 1447-1451, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
cellular permutation arrays, coset decompositions, permutation cell, coset generator, target network, multiprocessor interconnection networks, network topology, cellular arrays, cost function, propagation delay, fan-out, fan-in |
29 | Thomas K. Callaway, Earl E. Swartzlander Jr. |
Estimating the power consumption of CMOS adders. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Symposium on Computer Arithmetic ![In: 11th Symposium on Computer Arithmetic, 29 June - 2 July 1993, Windsor, Canada, Proceedings., pp. 210-216, 1993, IEEE Computer Society/, 0-8186-3862-1. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
29 | Binay Sugla, David A. Carlson |
Extreme Area-Time Tradeoffs in VLSI. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 39(2), pp. 251-257, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
bounded fan-in, fan-out prefix computation graphs, area requirements, constant factor reduction, area-time tradeoff, VLSI, lower bounds, digital arithmetic, layout, circuit layout CAD, carry look-ahead adder |
29 | Bhargab B. Bhattacharya, Bidyut Gupta |
On the Impossible Class of Faulty Functions in Logic Networks Under Short Circuit Faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 35(1), pp. 85-90, 1986. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
fan-out-free functions, N-equivalence classes, P-equivalence classes, short circuit faults, fault detection, stuck-at faults, Bridging faults, combinational logic, unate functions |
29 | Fred U. Rosenberger, Donald F. Wann |
A Computer Aided Procedure for Performing Static Loading Validation of Digital Logic Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 33(4), pp. 301-313, 1984. The full citation details ...](Pics/full.jpeg) |
1984 |
DBLP DOI BibTeX RDF |
static loading validation, digital design aids, fan-out computations, logic design aids, Computer aided design, loading |
29 | Jacob Savir |
Syndrome-Testing of "Syndrome-Untestable" Combinational Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 30(8), pp. 606-608, 1981. The full citation details ...](Pics/full.jpeg) |
1981 |
DBLP DOI BibTeX RDF |
Inversion parity, reconvergent fan-out, unate function |
29 | John C. Sutton, Jon G. Bredeson |
Minimal Redundant Logic for High Reliability and Irredundant Testability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 29(7), pp. 648-656, 1980. The full citation details ...](Pics/full.jpeg) |
1980 |
DBLP DOI BibTeX RDF |
Completely testable for single and multiple faults, control inputs and outputs, multilevel fan out free circuits, redundant connections, static logic hazard, triple modular redundancy |
29 | Vinod K. Agarwal, Gerald M. Masson |
Generic Fault Characterizations for Table Look-Up Coverage Bounding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 29(4), pp. 288-299, 1980. The full citation details ...](Pics/full.jpeg) |
1980 |
DBLP DOI BibTeX RDF |
single and multiple fault detection, Coverage bounds, coverage table, fault vectors, generic representations, L-expressions, internal fan-out-free networks |
23 | Kazuo Iwama |
Classic and Quantum Network Coding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: 8th International Symposium on Parallel Architectures, Algorithms, and Networks, ISPAN 2005, December 7-9. 2005, Las Vegas, Nevada, USA, pp. 10, 2005, IEEE Computer Society, 0-7695-2509-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Mihir R. Choudhury, Kartik Mohanram |
Reliability Analysis of Logic Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(3), pp. 392-405, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Xiaoyu Wang, Hongyan Liu, Daoxin Er |
HIDS: a multifunctional generator of hierarchical data streams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Data Base ![In: Data Base 40(2), pp. 29-36, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
data mining, data stream, data generator, computing technique |
21 | Qinghua Liu, Malgorzata Marek-Sadowska |
Semi-Individual Wire-Length Prediction With Application to Logic Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(4), pp. 611-624, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Bo-Hyun Wang, Byung-Wook Lee |
An Efficient Search Algorithm for High-Dimensional Indexing Using Cell Based MBR. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (1) ![In: Computational Science and Its Applications - ICCSA 2006, International Conference, Glasgow, UK, May 8-11, 2006, Proceedings, Part I, pp. 946-954, 2006, Springer, 3-540-34070-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Francisco-Javier Veredas, Jordi Carrabina |
Power Dissipation Impact of the Technology Mapping Synthesis on Look-Up Table Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PATMOS ![In: Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation, 15th International Workshop, PATMOS 2005, Leuven, Belgium, September 21-23, 2005, Proceedings, pp. 666-673, 2005, Springer, 3-540-29013-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Jeffrey V. Nickerson, Steven Skiena |
Attention and Communication: Decision Scenarios for Teleoperating Robots. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 38th Hawaii International Conference on System Sciences (HICSS-38 2005), CD-ROM / Abstracts Proceedings, 3-6 January 2005, Big Island, HI, USA, 2005, IEEE Computer Society, 0-7695-2268-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Dan R. Olsen, Stephen Bart Wood, Jonathan Turner |
Metrics for Human Driving of Multiple Robots. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: Proceedings of the 2004 IEEE International Conference on Robotics and Automation, ICRA 2004, April 26 - May 1, 2004, New Orleans, LA, USA, pp. 2315-2320, 2004, IEEE. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Eric S. H. Wong, Evangeline F. Y. Young, Wai-Kei Mak |
Clustering based acyclic multi-way partitioning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 13th ACM Great Lakes Symposium on VLSI 2003, Washington, DC, USA, April 28-29, 2003, pp. 203-206, 2003, ACM, 1-58113-677-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
multi-way, clustering, CAD, partitioning, acyclic |
21 | Hirendu Vaishnav, Massoud Pedram |
Alphabetic trees-theory and applications in layout-driven logicsynthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 20(1), pp. 58-69, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
21 | Colin D. Walter |
Faster Modular Multiplication by Operand Scaling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CRYPTO ![In: Advances in Cryptology - CRYPTO '91, 11th Annual International Cryptology Conference, Santa Barbara, California, USA, August 11-15, 1991, Proceedings, pp. 313-323, 1991, Springer, 3-540-55188-3. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
Fast Computer Arithmetic, Digital Arithmetic Methods, RSA Algorithm, Cryptography, Modular Multiplication |
21 | Zhicheng Wang, Peter M. Maurer |
LECSIM: A Levelized Event Driven Compiled Logic Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, Florida, USA, June 24-28, 1990., pp. 491-496, 1990, IEEE Computer Society Press, 0-89791-363-9. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
21 | Zhe Yu, Yuhua Liang, Haotian Lan, Li Chen, Jiajun Song, Shida Song, Zhangming Zhu |
A Time-Domain Reconfigurable Second-Order Noise Shaping ADC With Single Fan-Out Gated Delay Cells. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 31(6), pp. 902-905, June 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
21 | |
Erratum:Antenna in package design and measurement for millimeter-wave applications in fan-out wafer-level package [IEICE Electronics Express Vol. 19 (2022) No. 14 pp. 20220122]. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Electron. Express ![In: IEICE Electron. Express 20(23), pp. 20238001, December 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
21 | Chi-Wei Wang, Che-Pei Chang, Chang-Chun Lee |
Demonstration on Warpage Estimation Approach Utilized in Fan-Out Panel-Level Packaging Enabled by Multi-Scale Process-Oriented Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IRPS ![In: IEEE International Reliability Physics Symposium, IRPS 2023, Monterey, CA, USA, March 26-30, 2023, pp. 1-4, 2023, IEEE, 978-1-6654-5672-2. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
21 | Hirotaka Oshima, Takashi Kan, Hideaki Tanaka, Hidenori Takahashi, Katsuyuki Utaka, Masatoshi Suzuki |
Low Loss Fan-Out Structure for Multimode Waveguide-Based Reservoir Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PSC ![In: International Conference on Photonics in Switching and Computing, PSC 2023, Mantova, Italy, September 26-29, 2023, pp. 1-3, 2023, IEEE, 979-8-3503-2370-2. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
21 | Daijiong Chen, Shuangfu Wang, Qifu Wei, Huixiang Huang |
Novel Design of an Ultra Compact Software Defined Radio System with High-density Fan-out SiP Technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EITCE ![In: Proceedings of the 2023 7th International Conference on Electronic Information Technology and Computer Engineering, EITCE 2023, Xiamen, China, October 20-22, 2023, pp. 1183-1191, 2023, ACM. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
21 | Julian Bellavita, Mathias Jacquelin, Esmond G. Ng, Dan Bonachea, Johnny Corbino, Paul H. Hargrove |
symPACK: A GPU-Capable Fan-Out Sparse Cholesky Solver. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC Workshops ![In: Proceedings of the SC '23 Workshops of The International Conference on High Performance Computing, Network, Storage, and Analysis, SC-W 2023, Denver, CO, USA, November 12-17, 2023, pp. 1171-1184, 2023, ACM. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
21 | Jennifer Volk, George Tzimpragos, Alex Wynn, Evan Golden, Timothy Sherwood |
Low-Cost Superconducting Fan-Out with Repurposed Josephson Junctions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2206.07817, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
21 | Ying Chen, Jun Li 0104, Fei Ding, Liqiang Cao |
Antenna in package design and measurement for millimeter-wave applications in fan-out wafer-level package. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Electron. Express ![In: IEICE Electron. Express 19(14), pp. 20220122, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
21 | Teyuh Chou, Wei Tang 0010, Mihai D. Rotaru, Chester Liu, Rahul Dutta, Sharon Lim Pei Siang, David Ho Soon Wee, Surya Bhattacharya, Zhengya Zhang |
NetFlex: A 22nm Multi-Chiplet Perception Accelerator in High-Density Fan-Out Wafer-Level Packaging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Technology and Circuits ![In: IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022, pp. 208-209, 2022, IEEE, 978-1-6654-9772-5. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
21 | Tsubasa Sasaki, Masanori Takahashi, Ryuichi Sugizaki, Yoshihiro Arashitani |
4-Core Fan-out with Practical Environmental Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OECC/PSC ![In: 2022 27th OptoElectronics and Communications Conference (OECC) and 2022 International Conference on Photonics in Switching and Computing (PSC), Toyama, Japan, July 3-6, 2022, pp. 1-4, 2022, IEEE, 978-4-88552-336-6. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
21 | Yao Lu, Lixi Wan, Zhiqiang Zhang 0008, Min Su, Weibao Qiu |
Design, simulate and performance an embedded fan-out package for 2-D ultrasonic transducer arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Electron. Express ![In: IEICE Electron. Express 18(20), pp. 20210303, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
21 | Abdulqader Nael Mahmoud, Christoph Adelmann, Frederic Vanderveken, Sorin Cotofana, Florin Ciubotaru, Said Hamdioui |
Fan-out of 2 Triangle Shape Spin Wave Logic Gates. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2021, Grenoble, France, February 1-5, 2021, pp. 948-953, 2021, IEEE, 978-3-9819263-5-4. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
21 | Pranav Gokhale, Samantha Koretsky, Shilin Huang, Swarnadeep Majumder, Andrew Drucker, Kenneth R. Brown, Frederic T. Chong |
Quantum Fan-out: Circuit Optimizations and Technology Modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
QCE ![In: IEEE International Conference on Quantum Computing and Engineering, QCE 2021, Broomfield, CO, USA, October 17-22, 2021, pp. 276-290, 2021, IEEE, 978-1-6654-1691-7. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
21 | Dongfang Pan, Guolong Li, Fangting Miao, Biao Deng, Junying Wei, Daquan Yu, Ming Liu, Lin Cheng 0001 |
A 1.25W 46.5%-Peak-Efficiency Transformer-in-Package Isolated DC-DC Converter Using Glass-Based Fan-Out Wafer-Level Packaging Achieving 50mW/mm2 Power Density. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSCC ![In: IEEE International Solid-State Circuits Conference, ISSCC 2021, San Francisco, CA, USA, February 13-22, 2021, pp. 468-470, 2021, IEEE, 978-1-7281-9549-0. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
21 | Xing Quan, Jiang Luo, Guodong Su, Kai Jing, Jinsong Zhan |
A Low-Loss and High-Isolation Transformer-Based mm-Wave SPDT with Integrated Fan-out Wafer Level Packaging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Circuits Syst. Comput. ![In: J. Circuits Syst. Comput. 29(7), pp. 2050115:1-2050115:16, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
21 | Pranav Gokhale, Samantha Koretsky, Shilin Huang, Swarnadeep Majumder, Andrew Drucker, Kenneth R. Brown, Frederic T. Chong |
Quantum Fan-out: Circuit Optimizations and Technology Modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2007.04246, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
Displaying result #1 - #100 of 300 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ >>] |