The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for heterogeneously with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-2004 (18) 2005-2007 (18) 2008-2010 (18) 2011-2014 (21) 2015-2017 (23) 2018-2019 (16) 2020-2021 (17) 2022-2023 (24) 2024 (3)
Publication types (Num. hits)
article(54) incollection(2) inproceedings(101) phdthesis(1)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 34 occurrences of 34 keywords

Results
Found 158 publication records. Showing 158 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
53Francisco Ortín Soler, Juan Manuel Cueva Lovelle, Ana Belén Martínez Prieto The reflective nitrO abstract machine. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 2003 DBLP  DOI  BibTeX  RDF adaptability, virtual machine, reflection, heterogeneously, extensibility, abstract machine
52Ansgar Malich, Dorothee R. Fischer, Mirjam Facius, Alexander Petrovitch, Joachim Böttcher, Christiane Marx, Andreas Hansch, Werner A. Kaiser Effect of Breast Density on Computer Aided Detection. Search on Bibsonomy J. Digit. Imaging The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cancer detection, CAD, breast density
39 Heterogeneously Distributed Data. Search on Bibsonomy Encyclopedia of Database Systems The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
39John Oliver, Mark Lehne, Krishna Vummidi, Amy Bell, Sanjay Raman A low power CMOS sigma-delta readout circuit for heterogeneously integrated chemoresistive micro-/nano- sensor arrays. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39William B. Toms, David A. Edwards, Andrew Bardsley Synthesising Heterogeneously Encoded Systems. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Patrice Buche, Catherine Dervin, Ollivier Haemmerlé, Rallou Thomopoulos Fuzzy querying of incomplete, imprecise, and heterogeneously structured data in the relational model using ontologies and rules. Search on Bibsonomy IEEE Trans. Fuzzy Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Kaushik Rajan, Ramaswamy Govindarajan A heterogeneously segmented cache architecture for a packet forwarding engine. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Chris Giannella, Kun Liu 0001, Todd Olsen, Hillol Kargupta Communication Efficient Construction of Decision Trees Over Heterogeneously Distributed Data. Search on Bibsonomy ICDM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Decision Trees, Distributed Data Mining, Random Projection
39Ghanshyam Nayak, P. R. Mukund Chip Package Co-Design of a Heterogeneously Integrated 2.45GHz CMOS VCO using Embedded Passives in a Silicon Package. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
39Dirk Ziegenbein, Kai Richter 0001, Rolf Ernst, Lothar Thiele, Jürgen Teich SPI - a system model for heterogeneously specified embedded systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Neil C. C. Brown, Adam T. Sampson Alloy: fast generic transformations for Haskell. Search on Bibsonomy Haskell The full citation details ... 2009 DBLP  DOI  BibTeX  RDF haskell, generic programming, alloy
35Carmelo Acosta, Ayose Falcón, Alex Ramírez, Mateo Valero A Complexity-Effective Simultaneous Multithreading Architecture. Search on Bibsonomy ICPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Complexity-Effective, Heterogeneity-Awareness, Mapping Policies, Clustering, CMP, SMT
35Fei Xia, Alexandre Yakovlev, Ian G. Clark, Delong Shang Data Communication in Systems with Heterogeneous Timing. Search on Bibsonomy IEEE Micro The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Cooper S. Levy, Zhe Xuan, Jahnavi Sharma, Duanni Huang, Ranjeet Kumar, Chaoxuan Ma, Guan-Lin Su, Songtao Liu, Jinyong Kim, Xinru Wu, Tolga Acikalin, Haisheng Rong, Ganesh Balamurugan, James E. Jaussi 8-λ × 50 Gbps/λ Heterogeneously Integrated Si-Ph DWDM Transmitter. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
22Wenyuan Zhao, Yu-Shin Huang, Ruida Zhou, Chao Tian 0002 Weakly Private Information Retrieval from Heterogeneously Trusted Servers. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
22Neda Khiabani, Ching-Wen Chiang, Nai-Chen Liu, Pai-Yen Chen, Yen-Cheng Kuan, Chung-Tse Michael Wu Metamaterial-Enabled Ultrawideband mmWave Antenna-in-Package Using Heterogeneously-Integrated Silicon IPD and HDI-PCB for B5G/ 6G Applications. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
22Xiao-Sheng Liufu, Jin-Xu Xu, Liang Xu, Kai Xuan, Xiu Yin Zhang Heterogeneously Integrated Filtering Power Amplifier for Radio Determination Satellite Service. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Hangning Shi, Ailun Yi, Jiaxin Ding, Xudong Liu, Qingcheng Qin, Juemin Yi, Junjie Hu, Miao Wang, Demin Cai, Jianfeng Wang, Ke Xu, Fengwen Mu, Tadatomo Suga, René Heller, Mao Wang, Shengqiang Zhou, Wenhui Xu, Kai Huang, Tiangui You, Xin Ou Defect evolution in GaN thin film heterogeneously integrated with CMOS-compatible Si(100) substrate by ion-cutting technology. Search on Bibsonomy Sci. China Inf. Sci. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Bassem Tossoun, Di Liang, Stanley Cheung, Zhuoran Fang, Xia Sheng, John Paul Strachan, Raymond G. Beausoleil High-Speed and Energy-Efficient Non-Volatile Silicon Photonic Memory Based on Heterogeneously Integrated Memresonator. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Jingchi Zhang, Huanrui Yang, Hai Li 0001 HCE: Improving Performance and Efficiency with Heterogeneously Compressed Neural Network Ensemble. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Keyvan Ansari, Khondokar Fida Hasan Proposition of Augmenting V2X Roadside Unit to Enhance Cooperative Awareness of Heterogeneously Connected Road Users. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Jiaqi Yang 0005, Bo Du 0001, Liangpei Zhang 0001 Learning transformer-based heterogeneously salient graph representation for multimodal fusion classification of hyperspectral image and LiDAR data. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Lixiang Liu, Shanshan Chen, Michael Small, Jack Murdoch Moore, Keke Shang 0001 Global stability and optimal control of epidemics in heterogeneously structured populations exhibiting adaptive behavior. Search on Bibsonomy Commun. Nonlinear Sci. Numer. Simul. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Teodora Dogaru, Nora Götze, Daniela Rotelli, Yoel Berendsohn, Agathe Merceron, Petra Sauer Task Definition in Big Sets of Heterogeneously Structured Moodle LMS Courses. Search on Bibsonomy DELFI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Zhe Xuan, Ganesh Balamurugan, Duanni Huang, Ranjeet Kumar, Jahnavi Sharma, Cooper Levy, Jinyong Kim, Chaoxuan Ma, Guan-Lin Su, Songtao Liu, Xinru Wu, Tolga Acikalin, Haisheng Rong, James E. Jaussi A 256 Gbps Heterogeneously Integrated Silicon Photonic Microring-based DWDM Receiver Suitable for In-Package Optical I/O. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Yuliya Akulova, Richard Jones, Kimchau Nguyen, Ranju Venables, Pierre Doussiere, Ansheng Liu, Giovanni Gilardi, Mengyuan Huang, David Patel, Haijiang Yu, Saeed Fathololoumi, Daniel Zhu, Hari Mahalingam, Tiehui Su, Pegah Seddighian, Christian Malouin, Wenhua Lin, Ye Wang, Kadhair Al-Hemyari, Eric Snow Advancements in heterogeneously integrated silicon photonics for IMDD and coherent data transmission. Search on Bibsonomy OFC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Ali Eshaghian Dorche, Nima Nader, Eric J. Stanton, Sae Woo Nam, Richard P. Mirin Heterogeneously Integrated Near-Infrared DFB Laser on Tantalum Pentoxide. Search on Bibsonomy OFC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Khoi Minh Huynh, Ye Wu 0001, Sahar Ahmad, Pew-Thian Yap Microstructure Fingerprinting for Heterogeneously Oriented Tissue Microenvironments. Search on Bibsonomy MICCAI (8) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Xingqian Xu, Shant Navasardyan, Vahram Tadevosyan, Andranik Sargsyan, Yadong Mu, Humphrey Shi Image Completion with Heterogeneously Filtered Spectral Hints. Search on Bibsonomy WACV The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Harald König, Uwe Wolter, Tim Kräuter Structural Operational Semantics for Heterogeneously Typed Coalgebras. Search on Bibsonomy CALCO The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Xin-Qiang Cai, Yao-Xiang Ding, Zi-Xuan Chen, Yuan Jiang 0001, Masashi Sugiyama, Zhi-Hua Zhou Seeing Differently, Acting Similarly: Heterogeneously Observable Imitation Learning. Search on Bibsonomy ICLR The full citation details ... 2023 DBLP  BibTeX  RDF
22Terman Frometa-Castillo, Anil Pyakuryal, Ganesh Narayanasamy, Amadeo Wals-Zurita, Raul Piseaux-Aillon, Asghar Mesbahi Computational simulator that calculates tumor control probability in a tumor heterogeneously irradiated for fractionated radiation oncology treatments. Search on Bibsonomy Simul. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Seo Jin Jang, Wei Liu, Wei Li, Yong Beom Cho Parallel multi-view HEVC for heterogeneously embedded cluster system. Search on Bibsonomy Parallel Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Linlong Wu, Kumar Vijay Mishra, M. R. Bhavani Shankar, Björn E. Ottersten Resource Allocation in Heterogeneously-Distributed Joint Radar-Communications Under Asynchronous Bayesian Tracking Framework. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Xingqian Xu, Shant Navasardyan, Vahram Tadevosyan, Andranik Sargsyan, Yadong Mu, Humphrey Shi Image Completion with Heterogeneously Filtered Spectral Hints. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Riya Paul, K. Asif Faruque, Ayesha Hassan, H. Alan Mantooth, Sama Salehi Vala, Abdul Basit Mirza, Fang Luo A Heterogeneously Integrated Double-Sided Cooling Silicon Carbide Power Module. Search on Bibsonomy NEWCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Henry Hinton, Houk Jang, Wenxuan Wu, Min-Hyun Lee, Minsu Seol, Hyeon-Jin Shin, Seongjun Park, Donhee Ham A 200 x 256 Image Sensor Heterogeneously Integrating a 2D Nanomaterial-Based Photo-FET Array and CMOS Time-to-Digital Converters. Search on Bibsonomy ISSCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Luca Urbinati, Mario R. Casu A Reconfigurable Depth-Wise Convolution Module for Heterogeneously Quantized DNNs. Search on Bibsonomy ISCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Sylvie Menezo, Zheng Yong, Kevin Froberger, Torrey Thiessen, Jason C. C. Mak, Florian Denis-Le Coarer, Martin Peyrou, Laurent Milord, J. Da Fonseca, Christophe Jany, Philippe Grosse, F. Mazur, Joyce K. S. Poon 40GBaud PAM4 silicon Mach-Zehnder modulator boosted by a heterogeneously integrated SOA with 10dB-gain. Search on Bibsonomy OFC The full citation details ... 2022 DBLP  BibTeX  RDF
22Harald König, Uwe Wolter Consistency of Heterogeneously Typed Behavioural Models: A Coalgebraic Approach. Search on Bibsonomy TASE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Te-Yen Chiu, Chun-Hsing Li 340-GHz Heterogeneously-Integrated THz Imager With 4°-Beamwidth 16×16 IPD Antenna Array for Lensless Terahertz Imaging Applications. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Jong Ho Moon, Jong Jin Park, Kang-Yoon Lee, Dong In Kim Heterogeneously Reconfigurable Energy Harvester: An Algorithm for Optimal Reconfiguration. Search on Bibsonomy IEEE Internet Things J. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Linlong Wu, Kumar Vijay Mishra, M. R. Bhavani Shankar, Björn E. Ottersten Heterogeneously-Distributed Joint Radar Communications: Bayesian Resource Allocation. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
22Flavio Ponzina, Marco Rios, Giovanni Ansaloni, Alexandre Levisse, David Atienza A Flexible In-Memory Computing Architecture for Heterogeneously Quantized CNNs. Search on Bibsonomy ISVLSI The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Aly Attallah, Herbert Werner An Information Flow Filter Approach to Formation Control for Heterogeneously Scheduled LPV Agents. Search on Bibsonomy CDC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Linlong Wu, Kumar Vijay Mishra, Bhavani Shankar Mysore Rama Rao, Björn E. Ottersten Heterogeneously-Distributed Joint Radar Communications: Bayesian Resource Allocation. Search on Bibsonomy SPAWC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Jishen Zhang, Haiwen Xu, Gong Zhang 0009, Yue Chen, Haibo Wang, Kian Hua Tan, Satrio Wicaksono, Chao Wang, Chen Sun 0010, Qiwen Kong, Charles Ci Wen Lim, Soon-Fatt Yoon, Xiao Gong First InGaAs/InAlAs Single-Photon Avalanche Diodes (SPADs) Heterogeneously Integrated with Si Photonics on SOI Platform for 1550 nm Detection. Search on Bibsonomy VLSI Circuits The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Siau Ben Chiah, Xing Zhou, Kenneth Eng-Kian Lee Enabling Monolithic Heterogeneously Integrated Si/III-V Technology Platform. Search on Bibsonomy ASICON The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Alain Andres, Esther Villar-Rodriguez, Aritz D. Martinez, Javier Del Ser Collaborative Exploration and Reinforcement Learning between Heterogeneously Skilled Agents in Environments with Sparse Rewards. Search on Bibsonomy IJCNN The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Jinghui Zhang, Jun Zhan, Jiange Li, Jiahui Jin, Lei Qian Optimizing execution for pipelined-based distributed deep learning in a heterogeneously networked GPU cluster. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Jurre Hanema, Mircea Lazar, Roland Tóth Heterogeneously parameterized tube model predictive control for LPV systems. Search on Bibsonomy Autom. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Aseem Sayal, Paras Ajay, Mark W. McDermott, S. V. Sreenivasan, Jaydeep P. Kulkarni M2A2: Microscale Modular Assembled ASICs for High-Mix, Low-Volume, Heterogeneously Integrated Designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Ke Yan 0006, Jinzheng Cai, Adam P. Harrison, Dakai Jin, Jing Xiao 0006, Le Lu 0001 Universal Lesion Detection by Learning from Multiple Heterogeneously Labeled Datasets. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
22Tobias Düsterhöft, Alexander H. Hübner, Kai Schaal A practical approach to the shelf-space allocation and replenishment problem with heterogeneously sized shelves. Search on Bibsonomy Eur. J. Oper. Res. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Anderson Chaves Carniel, Markus Schneider 0001 Spatial Data Types for Heterogeneously Structured Fuzzy Spatial Collections and Compositions. Search on Bibsonomy FUZZ-IEEE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Yuanhang Ren, Ye Du Specializing Word Vectors by Spectral Decomposition on Heterogeneously Twisted Graphs. Search on Bibsonomy COLING The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Takuma Aihara, Tatsurou Hiraki, Takuro Fujii, Koji Takeda, Tai Tsuchizawa, Takaaki Kakitsuka, Hiroshi Fukuda, Shinji Matsuo Heterogeneously Integrated Membrane DFB Laser and Si Mach-Zehnder Modulator on Si Photonics Platform. Search on Bibsonomy ECOC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Chihiro Matsui, Ken Takeuchi Step-by-Step Design of memory hierarchy for heterogeneously-integrated SCM/NAND flash storage. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Dongwen Yang, Lan Du 0001, Hongwei Liu 0001, Yan Wang 0069, Mingfei Gu Extended Geometrical Perturbation Based Detectors for PolSAR Image Target Detection in Heterogeneously Patched Regions. Search on Bibsonomy IEEE J. Sel. Top. Appl. Earth Obs. Remote. Sens. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Ziyuan Gu, S. Travis Waller, Meead Saberi Surrogate-based toll optimization in a large-scale heterogeneously congested network. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
22Jurre Hanema, Mircea Lazar, Roland Tóth Heterogeneously parameterized tube model predictive control for LPV systems. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
22Ziyuan Gu, S. Travis Waller, Meead Saberi Surrogate-based toll optimization in a large-scale heterogeneously congested network. Search on Bibsonomy Comput. Aided Civ. Infrastructure Eng. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Chihiro Matsui, Ken Takeuchi Design of heterogeneously-integrated memory system with storage class memories and NAND flash memories. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Duanni Huang, Minh A. Tran, Joel Guo, Jon Peters, Tin Komljenovic, Aditya Malik, Paul A. Morton, John E. Bowers 0001 Sub-kHz Linewidth Extended-DBR Lasers Heterogeneously Integrated on Silicon. Search on Bibsonomy OFC The full citation details ... 2019 DBLP  BibTeX  RDF
22Khoi Minh Huynh, Tiantian Xu, Ye Wu 0001, Kim-Han Thung, Geng Chen 0001, Weili Lin, Dinggang Shen, Pew-Thian Yap Characterizing Non-Gaussian Diffusion in Heterogeneously Oriented Tissue Microenvironments. Search on Bibsonomy MICCAI (3) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Bapi Dutta, Felix T. S. Chan, Debashree Guha, Ben Niu 0002, Junhu Ruan Aggregation of Heterogeneously Related Information with Extended Geometric Bonferroni Mean and Its Application in Group Decision Making. Search on Bibsonomy Int. J. Intell. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
22Jana Kemnitz, Christian F. Baumgartner, Wolfgang Wirth, Felix Eckstein, Sebastian K. Eder, Ender Konukoglu Combining Heterogeneously Labeled Datasets For Training Segmentation Networks. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
22Srinath Narasimha, Joy Kuri, Albert Sunny Reduced-complexity delay-efficient throughput-optimal distributed scheduling with heterogeneously delayed network-state information. Search on Bibsonomy Perform. Evaluation The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
22François Leborne, Vincent Creuze, Ahmed Chemori, Lorenzo Brignone Dynamic Modeling and Identification of an Heterogeneously Actuated Underwater Manipulator Arm. Search on Bibsonomy ICRA The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
22Takuro Fujii, Koji Takeda, Hidetaka Nishi, Shinji Matsuo Heterogeneously Integrated III-V Lasers Fabricated Using Epitaxial Growth on an InP/SiO2/Si Substrate. Search on Bibsonomy OFC The full citation details ... 2018 DBLP  BibTeX  RDF
22Songtao Liu, Tin Komljenovic, Sudharsanan Srinivasan, Erik Norberg, Gregory Fish, John E. Bowers 0001 A Heterogeneously Integrated III-V/Si Colliding Pulse Mode-locked Laser with On-chip Feedback. Search on Bibsonomy OFC The full citation details ... 2018 DBLP  BibTeX  RDF
22Jana Kemnitz, Christian F. Baumgartner, Wolfgang Wirth, Felix Eckstein, Sebastian K. Eder, Ender Konukoglu Combining Heterogeneously Labeled Datasets For Training Segmentation Networks. Search on Bibsonomy MLMI@MICCAI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
22Tatsurou Hiraki, Takuma Aihara, Takuro Fujii, Koji Takeda, Tai Tsuchizawa, Takaaki Kakitsuka, Hiroshi Fukuda, Shinji Matsuo Heterogeneously Integrated Mach-Zehnder Modulator Using Membrane InGaAsP Phase Shifter and Hydrogen-Free SiN Waveguide on Si Platform. Search on Bibsonomy ECOC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
22Muhammad Amjad 0001, Muhammad Khalil Afzal, Tariq Umer, Byung-Seo Kim QoS-Aware and Heterogeneously Clustered Routing Protocol for Wireless Sensor Networks. Search on Bibsonomy IEEE Access The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Fernando Paganini, Enrique Mallada Global performance metrics for synchronization of heterogeneously rated power systems: The role of machine models and inertia. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
22Steven Hill, Hooman Latifi, Marco Heurich, Jörg Müller 0003 Individual-tree- and stand-based development following natural disturbance in a heterogeneously structured forest: A LiDAR-based approach. Search on Bibsonomy Ecol. Informatics The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Maria Sukhareva, Christian Chiarcos An Ontology-based Approach To Automatic Part-of-Speech Tagging Using Heterogeneously Annotated Corpora. Search on Bibsonomy NLPLOD@RANLP The full citation details ... 2017 DBLP  BibTeX  RDF
22Fernando Paganini, Enrique Mallada Global performance metrics for synchronization of heterogeneously rated power systems: The role of machine models and inertia. Search on Bibsonomy Allerton The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Tatsurou Hiraki, Takuma Aihara, Koichi Hasebe, Takuro Fujii, Koji Takeda, Tai Tsuchizawa, Takaaki Kakitsuka, Hiroshi Fukuda, Shinji Matsuo Heterogeneously integrated InP/Si metal-oxide-semiconductor capacitor Mach-Zehnder modulator. Search on Bibsonomy OFC The full citation details ... 2017 DBLP  BibTeX  RDF
22Abdul Rahim, Amin Abbasi, Nuno Sequeira Andre, Andrew Katumba, Hadrien Louchet, Kasper Van Gasse, Roel Baets, Geert Morthier, Gunther Roelkens 69 Gb/s DMT direct modulation of a heterogeneously integrated InP-on-Si DFB laser. Search on Bibsonomy OFC The full citation details ... 2017 DBLP  BibTeX  RDF
22Linjun Liang, Jared Hulme, Rui-Lin Chao, Tin Komljenovic, Jin-Wei Shi, Shuisheng Jian, John E. Bowers 0001 A direct comparison between heterogeneously integrated widely-tunable ring-based laser designs. Search on Bibsonomy OFC The full citation details ... 2017 DBLP  BibTeX  RDF
22Amin Abbasi, Bart Moeneclaey, Jochem Verbist, Xin Yin, Johan Bauwelinck, Gunther Roelkens, Geert Morthier 56 Gb/s electro-absorption modulation of a heterogeneously integrated InP-on-Si DFB laser diode. Search on Bibsonomy OFC The full citation details ... 2017 DBLP  BibTeX  RDF
22Tatsurou Hiraki, Takuma Aihara, Koichi Hasebe, Takuro Fujii, Koji Takeda, Takaaki Kakitsuka, Tai Tsuchizawa, Hiroshi Fukuda, Shinji Matsuo 32-Gbit/s Heterogeneously Integrated Mach-Zehnder Modulator with 250μm-long III-V/Si MOS-capacitor Phase Shifter. Search on Bibsonomy ECOC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Shanyu Zhou, Hulya Seferoglu Connectivity-Aware Traffic Phase Scheduling for Heterogeneously Connected Vehicles. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
22Hadi Heidari, Carlos Garcia-Núñez, Ravinder Dahiya E-skin module with heterogeneously integrated graphene touch sensors and CMOS circuitry. Search on Bibsonomy IEEE SENSORS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Shanyu Zhou, Hulya Seferoglu Connectivity-aware traffic phase scheduling for heterogeneously connected vehicles. Search on Bibsonomy CarSys@MobiCom The full citation details ... 2016 DBLP  BibTeX  RDF
22Alessandro Pilloni, Alessandro Pisano, Mauro Franceschelli, Elio Usai Robust distributed consensus on the median value for networks of heterogeneously perturbed agents. Search on Bibsonomy CDC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Masahiro Tanaka, Kota Tsurumi, Tomoya Ishii, Ken Takeuchi Heterogeneously integrated program voltage generator for 1.0V operation NAND flash with best mix & match of standard CMOS process and NAND flash process. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Chuan Qin 0010, Shaoqi Feng, Kuanping Shang, Shibnath Pathak, Binbin Guan, Matthew Clements, Hongbo Lu, S. J. Ben Yoo Dynamic optical arbitrary waveform generation from a heterogeneously integrated InP/Si3N4 chip-scale module. Search on Bibsonomy OFC The full citation details ... 2016 DBLP  BibTeX  RDF
22Srinath Narasimha, Joy Kuri Throughput Optimal and Fast Near-Optimal Scheduling with Heterogeneously Delayed Network-State Information (Extended Version). Search on Bibsonomy CoRR The full citation details ... 2015 DBLP  BibTeX  RDF
22Jorge Duro-Royo, Laia Mogas-Soldevila, Neri Oxman Flow-based fabrication: An integrated computational workflow for design and digital additive manufacturing of multifunctional heterogeneously structured objects. Search on Bibsonomy Comput. Aided Des. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
22Mohammad Meraj Ghanbari, Julius M. Tsai, Simone Gambini An energy-efficient heterogeneously-integrated capacitive pressure sensing system. Search on Bibsonomy BioCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
22Babak Fotouhi, Naghmeh Momeni Inter-layer Degree Correlations in Heterogeneously Growing Multiplex Networks. Search on Bibsonomy CompleNet The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
22Xiaojun Xie, Qiugui Zhou, Erik Norberg, Matt Jacob-Mitos, Yaojia Chen, Anand Ramaswamy, Gregory Fish, John E. Bowers 0001, Joe Campbell, Andreas Beling Heterogeneously integrated waveguide-coupled photodiodes on SOI with 12 dBm output power at 40 GHz. Search on Bibsonomy OFC The full citation details ... 2015 DBLP  BibTeX  RDF
22Helene Duprez, Antoine Descos, Thomas Ferrotti, Julie Harduin, Christophe Jany, T. Card, A. Myko, Loic Sanchez, Corrado Sciancalepore, Sylvie Menezo, Badhise Ben Bakir Heterogeneously integrated III-V on silicon distributed feedback lasers at 1310 nm. Search on Bibsonomy OFC The full citation details ... 2015 DBLP  BibTeX  RDF
22A. Abbasi, Jochem Verbist, J. van Kerrebroeck, François Lelarge, Guang-Hua Duan, Johan Bauwelinck, Günther Roelkens, Geert Morthier 28 Gb/s direct modulation heterogeneously integrated InP/Si DFB laser. Search on Bibsonomy ECOC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
22Yiliu Liu Optimal staggered testing strategies for heterogeneously redundant safety systems. Search on Bibsonomy Reliab. Eng. Syst. Saf. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
22Po-Tsang Huang, Shang-Lin Wu, Yu-Chieh Huang, Lei-Chun Chou, Teng-Chieh Huang, Tang-Hsuan Wang, Yu-Rou Lin, Chuan-An Cheng, Wen-Wei Shen, Ching-Te Chuang, Kuan-Neng Chen, Jin-Chern Chiou, Wei Hwang, Ho-Ming Tong 2.5D Heterogeneously Integrated Microsystem for High-Density Neural Sensing Applications. Search on Bibsonomy IEEE Trans. Biomed. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
22Mohammad Mehdi Ghahremanpour, Seyed Shahriar Arab, Saman Biook Aghazadeh, Jin Zhang, David van der Spoel MemBuilder: a web-based graphical interface to build heterogeneously mixed membrane bilayers for the GROMACS biomolecular simulation program. Search on Bibsonomy Bioinform. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
22Babak Fotouhi, Naghmeh Momeni Non-trivial Inter-layer Degree Correlations in Heterogeneously Growing Multiplex Networks. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
Displaying result #1 - #100 of 158 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license