The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase many-core (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1998-2006 (16) 2007 (30) 2008 (71) 2009 (107) 2010 (119) 2011 (159) 2012 (162) 2013 (204) 2014 (232) 2015 (252) 2016 (237) 2017 (199) 2018 (188) 2019 (161) 2020 (86) 2021 (152) 2022 (122) 2023 (158) 2024 (16)
Publication types (Num. hits)
article(687) book(2) incollection(10) inproceedings(1833) phdthesis(115) proceedings(24)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 433 occurrences of 270 keywords

Results
Found 2671 publication records. Showing 2671 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
64Jungseob Lee, Nam Sung Kim Optimizing total power of many-core processors considering voltage scaling limit and process variations. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF voltage and frequency scaling, process variations, parallel applications, many-core processor
61Robert Law Using student blogs for documentation in software development projects. Search on Bibsonomy ITiCSE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
53Colin J. Ihrig, Rami G. Melhem, Alex K. Jones Automated modeling and emulation of interconnect designs for many-core chip multiprocessors. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF simulation, interconnection network, emulation, multi-core, many-core
51Jishen Zhao, Xiangyu Dong, Yuan Xie 0001 Cost-aware three-dimensional (3D) many-core multiprocessor design. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF 3D IC design, many-core processor design, cost modeling
51Ping Lai, Pavan Balaji, Rajeev Thakur, Dhabaleswar K. Panda 0001 ProOnE: a general-purpose protocol onload engine for multi- and many-core architectures. Search on Bibsonomy Comput. Sci. Res. Dev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Protocol offload/onload, Multi-core, Many-core
50Roberto Giorgi, Zdravko Popovic, Nikola Puzovic Implementing Fine/Medium Grained TLP Support in a Many-Core Architecture. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF DTA, many-core architectures
49Rob van Nieuwpoort, John W. Romein Using many-core hardware to correlate radio astronomy signals. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF lofar, correlator, many-core
49Chi-Neng Wen, Shu-Hsuan Chou, Tien-Fu Chen, Alan Peisheng Su NUDA: a non-uniform debugging architecture and non-intrusive race detection for many-core. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF architecture, debugging, many-core, race detection
47Guoping Long, Dongrui Fan, Junchao Zhang, Fenglong Song, Nan Yuan, Wei Lin 0004 A Performance Model of Dense Matrix Operations on Many-Core Architectures. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dense matrix, performance model, memory bandwidth, many-core architecture
45Michael A. Kochte, Marcel Schaal, Hans-Joachim Wunderlich, Christian G. Zoellin Efficient fault simulation on many-core processors. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF PPSFP, parallel fault simulation, many-core processors
45Gregory F. Diamos, Sudhakar Yalamanchili Harmony: an execution model and runtime for heterogeneous many core systems. Search on Bibsonomy HPDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scheduling, optimization, heterogeneous, gpgpu, performance monitoring, dependency graph, many core, runtime, harmony
44Edward C. Herrmann, Prudhvi Janga, Philip A. Wilsey Pre-computing Function Results in Multi-Core and Many-Core Processors. Search on Bibsonomy ICPP Workshops The full citation details ... 2011 DBLP  DOI  BibTeX  RDF transparent parallelism, multi-threading, many-core
44Teng Ma, George Bosilca, Aurélien Bouteiller, Brice Goglin, Jeffrey M. Squyres, Jack J. Dongarra Kernel Assisted Collective Intra-node MPI Communication among Multi-Core and Many-Core CPUs. Search on Bibsonomy ICPP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF MPI, shared memory, multi-core, kernel, collective communication, many-core, NUMA
44Weirong Zhu, Vugranam C. Sreedhar, Ziang Hu, Guang R. Gao Synchronization state buffer: supporting efficient fine-grain synchronization on many-core architectures. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SSB, fine-grain synchronization, many-core
43Wenlong Li, Xiaofeng Tong, Tao Wang 0003, Yimin Zhang 0002, Yen-Kuang Chen Parallelization Strategies and Performance Analysis of Media Mining Applications on Multi-Core Processors. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Media mining, Performance analysis, Parallelization, Multi-core processor
41Jin Zhou, Brian Demsky Bamboo: a data-centric, object-oriented approach to many-core software. Search on Bibsonomy PLDI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF data-centric languages, many-core programming
41José L. Abellán, Juan Fernández 0001, Manuel E. Acacio Efficient and scalable barrier synchronization for many-core CMPs. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF g-line-based barrier synchronization, global interconnection lines, many-core cmps, s-csma technique
41Dean Truong, Bevan M. Baas Circuit modeling for practical many-core architecture design exploration. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dynamic frequency and voltage scaling, control, many-core
41Ronny Ronen Larrabee: a many-core Intel architecture for visual computing. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF graphics architecture, many-core computing, parrallel processing, processor arechitecture, software rendering
41Larry Seiler, Doug Carmean, Eric Sprangle, Tom Forsyth, Michael Abrash, Pradeep Dubey, Stephen Junkins, Adam T. Lake, Jeremy Sugerman, Robert Cavin, Roger Espasa, Ed Grochowski, Toni Juan, Pat Hanrahan Larrabee: a many-core x86 architecture for visual computing. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF graphics architecture, many-core computing, realtime graphics, software rendering, throughput computing, visual computing, parallel processing, GPGPU, SIMD
38Chan-Eun Rhee, Han-You Jeong, Soonhoi Ha Many-to-Many Core-Switch Mapping in 2-D Mesh NoC Architectures. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Zhitao Wan A Network Virtualization Approach in Many-core Processor Based Cloud Computing Environment. Search on Bibsonomy CICSyN The full citation details ... 2011 DBLP  DOI  BibTeX  RDF cloud computing, hypervisor, network virtualization, many-core processor
38Xuan-Yi Lin, Kuan-Chou Lai, Shau-Yin Tseng, Kuan-Ching Li, Yeh-Ching Chung An Efficient Programming Paradigm for Shared-Memory Master-Worker Video Decoding on TILE64 Many-Core Platform. Search on Bibsonomy ICPP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF producer-consumer, TILE64, shared memory, programming paradigm, many-core, master-worker
38Alberto Ros 0001, Manuel E. Acacio, José M. García 0001 A Direct Coherence Protocol for Many-Core Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Many-core CMP, direct coherence, indirection problem, on-chip network traffic, cache coherence protocol
38Wei Hu 0001, Xingsheng Tang, Bin Xie 0002, Tianzhou Chen, Dazhou Wang An Efficient Power-Aware Optimization for Task Scheduling on NoC-based Many-core System. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF network-on-chip, energy consumption, task schedule, many-core
38Hans G. Kerkhoff, Xiao Zhang 0002 Design of an Infrastructural IP Dependability Manager for a Dependable Reconfigurable Many-Core Processor. Search on Bibsonomy DELTA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF DfX, reliability, SoC, dependability, reconfiguration, availability, BIST, many-core processors
38Fadi N. Sibai Low Diameter Unicast On-Chip Interconnection Networks for Many-Core Embedded Systems. Search on Bibsonomy CISIS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF many core embedded systems, On-chip interconnection networks, network diameter
38Edward C. Herrmann, Philip A. Wilsey Threaded Dynamic Memory Management in Many-Core Processors. Search on Bibsonomy CISIS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF SPEC benchmarks, threads, Many-core, dynamic memory
38Xu Wang, Ge Gan, Dongrui Fan, Shuxu Guo GFFC: The Global Feedback Based Flow Control in the NoC Design for Many-core Processor. Search on Bibsonomy NPC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF global feedback, flow control, NoC, many-core
38Lei Yu 0012, Zhiyong Liu 0002, Dongrui Fan, Fenglong Song, Junchao Zhang, Nan Yuan Study on Fine-Grained Synchronization in Many-Core Architecture. Search on Bibsonomy SNPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fine-grained synchronization, speedup, thread-level parallelism, many-core
38Yongbin Zhou, Junchao Zhang, Shuai Zhang, Nan Yuan, Dongrui Fan Data Management: The Spirit to Pursuit Peak Performance on Many-Core Processor. Search on Bibsonomy ISPA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 1-D FFT, asynchronous data transfer, Many-core, Scratchpad memory
38Fenglong Song, Zhiyong Liu 0002, Dongrui Fan, He Huang, Nan Yuan, Lei Yu 0012, Junchao Zhang Evaluation Method of Synchronization for Shared-Memory On-Chip Many-Core Processor. Search on Bibsonomy ISPA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF evaluation, synchronization, hardware-supported, micro-benchmark, many-core architecture
38Guoping Long, Dongrui Fan, Junchao Zhang Architectural support for cilk computations on many-core architectures. Search on Bibsonomy PPoPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallel programming, many-core, memory consistency, cilk
38Wei Huang 0004, Mircea R. Stan, Karthik Sankaranarayanan, Robert J. Ribando, Kevin Skadron Many-core design from a thermal perspective. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF many-core design, thermal design power, performance, temperature
36Pedro Alonso 0002, Manuel F. Dolz, Francisco D. Igual, Bryan Marker, Rafael Mayo 0002, Enrique S. Quintana-Ortí, Robert A. van de Geijn Power-aware Dense Linear Algebra Implementations on Multi-core and Many-core Processors. Search on Bibsonomy MARC Symposium The full citation details ... 2011 DBLP  BibTeX  RDF
32Joel C. Adams, Daniel J. Ernst, Thomas Murphy, Ariel Ortiz Multicore education: pieces of the parallel puzzle. Search on Bibsonomy SIGCSE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallel, concurrency, training, programming, curriculum, languages, multi-core, workshops, many-core, faculty
32Tameesh Suri, Aneesh Aggarwal Scalable Multi-cores with Improved Per-core Performance Using Off-the-critical Path Reconfigurable Hardware. Search on Bibsonomy HiPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Takanori Ueda, Yu Hirate, Hayato Yamana Exploiting idle CPU cores to improve file access performance. Search on Bibsonomy ICUIMC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF access pattern mining, many core, access pattern, replacement algorithm, buffer caching
31Haitham Akkary, Komal Jothi, Renjith Retnamma, Satyanarayana Nekkalapu, Doug Hall, Shahrokh Shahidzadeh On the potential of latency tolerant execution in speculative multithreading. Search on Bibsonomy IFMT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF latency-tolerant architectures, chip multiprocessors, speculative multithreading, many-core processors
31Yasutaka Tsunakawa Needs and trends in embedded software development for consumer electronics. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Ulya R. Karpuzcu, Brian Greskamp, Josep Torrellas The BubbleWrap many-core: popping cores for sequential acceleration. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF power wall, process scaling, processor aging, voltage scaling
29Ryota Kayanoma, Akira Jinguji, Hiroki Nakahara A Many-core Architecture for an Ensemble Ternary Neural Network Toward High-Throughput Inference. Search on Bibsonomy MCSoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
29Mahmoud Ahmed Elshimy, Veronia Iskandar, Diana Goehringer, Mohamed A. Abd El Ghany A Near-Memory Dynamically Programmable Many-Core Overlay. Search on Bibsonomy MCSoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
29Thomas Abbott, Bevan M. Baas A Scalable JPEG Encoder on a Many-Core Array. Search on Bibsonomy MCSoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
29Peiyao Shi, Aaron Stillmaker, Bevan M. Baas Efficient and High-Performance Sparse Matrix-Vector Multiplication on a Many-Core Array. Search on Bibsonomy MCSoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
29Daichi Mukunoki, Yusuke Hirota, Toshiyuki Imamura Task Scheduling Strategies for Batched Basic Linear Algebra Subprograms on Many-core CPUs. Search on Bibsonomy MCSoC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
29Jagadish Kumar Ranbirsingh, Hanke Kimm, Haklin Kimm Distributed Neural Networks using TensorFlow over Multicore and Many-Core Systems. Search on Bibsonomy MCSoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
29Jan Spieck, Stefan Wildermann, Tobias Schwarzer, Jürgen Teich, Michael Glaß Data-Driven Scenario-Based Application Mapping for Heterogeneous Many-Core Systems. Search on Bibsonomy MCSoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
29Nouredine Melab, Jan Gmys, Mohand-Said Mezmaz, Daniel Tuyttens Multi-core versus many-core computing for many-task Branch-and-Bound applied to big optimization problems. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
29Tobias Schwarzer, Sascha Roloff, Valentina Richthammer, Rami Khaldi, Stefan Wildermann, Michael Glaß, Jürgen Teich On the Complexity of Mapping Feasibility in Many-Core Architectures. Search on Bibsonomy MCSoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
29Vanchinathan Venkataramani, Anuj Pathania, Muhammad Shafique 0001, Tulika Mitra, Jörg Henkel Scalable Dynamic Task Scheduling on Adaptive Many-Core. Search on Bibsonomy MCSoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
29Maria Mendez Real Spatial Isolation against Logical Cache-based Side-Channel Attacks in Many-Core Architectures. (Isolation physique contre les attaques logiques par canaux cachés basées sur le cache dans des architectures many-core). Search on Bibsonomy 2017   RDF
29Alessandro Capotondi Programming models and tools for many-core platforms ; Modelli e strumenti di programmazione parallela per piattaforme many-core. Search on Bibsonomy 2016   RDF
29Lars Middendorf, Christian Haubelt Supporting Static Binding in Stream Rewriting for Heterogeneous Many-Core Architectures. Search on Bibsonomy MCSoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
29Maximilian Gotzinger, Amir M. Rahmani, Martin Pongratz, Pasi Liljeberg, Axel Jantsch, Hannu Tenhunen The Role of Self-Awareness and Hierarchical Agents in Resource Management for Many-Core Systems. Search on Bibsonomy MCSoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
29Yiannis Nikolakopoulos, Marina Papatriantafilou, Peter Brauer, Martin Lundqvist, Vincenzo Gulisano, Philippas Tsigas Highly Concurrent Stream Synchronization in Many-core Embedded Systems. Search on Bibsonomy MES@ISCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
29César Fuguet Tortolero Introduction of Fault-Tolerance Mechanisms for Permanent Failures in Coherent Shared-Memory Many-Core Architectures. (Introduction de mécanismes de tolérance aux pannes franches dans les architectures de processeur « many-core » à mémoire partagée cohérente). Search on Bibsonomy 2015   RDF
29Eleni Kanellou Data Structures for Current Multi-core and Future Many-core Architectures. (Structures de données pour des architectures multi-cœur actuelles et de futures architectures many-cœur). Search on Bibsonomy 2015   RDF
29Ryohei Kobayashi, Kenji Kise FACE: Fast and Customizable Sorting Accelerator for Heterogeneous Many-core Systems. Search on Bibsonomy MCSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
29Chuan Tang, Dan Liu, Zuocheng Xing, Peng Yang 0003, Zhe Wang 0003, Jiang Xu 0001 Memory Access Analysis of Many-core System with Abundant Bandwidth. Search on Bibsonomy MCSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
29Giuseppe Tagliavini, Germain Haugou, Andrea Marongiu, Luca Benini ADRENALINE: An OpenVX Environment to Optimize Embedded Vision Applications on Many-core Accelerators. Search on Bibsonomy MCSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
29Gianvito Urgese, Francesco Barchi, Enrico Macii Top-Down Profiling of Application Specific Many-core Neuromorphic Platforms. Search on Bibsonomy MCSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
29Hiroshi Nakahara, Tomoya Ozaki, Hiroki Matsutani, Michihiro Koibuchi, Hideharu Amano Expandable Chip Stacking Method for Many-core Architectures Consisting of Tiny Chips. Search on Bibsonomy MCSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
29Tiana A. Rakotovao, Diego Puschini, Julien Mottin, Lukas Rummelhard, Amaury Nègre, Christian Laugier Intelligent Vehicle Perception: Toward the Integration on Embedded Many-core. Search on Bibsonomy PARMA-DITAM@HiPEAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
29Rishad A. Shafik, Anup Das 0001, Sheng Yang 0003, Geoff V. Merrett, Bashir M. Al-Hashimi Adaptive Energy Minimization of OpenMP Parallel Applications on Many-Core Systems. Search on Bibsonomy PARMA-DITAM@HiPEAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
29Taeyoung Kim, Jintaek Kang, Sungchan Kim, Soonhoi Ha SoPHy: A Software Platform for Hybrid Resource Management of Homogeneous Many-core Accelerators. Search on Bibsonomy MES@ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
29Christian Pinto, Andrea Marongiu, Luca Benini A Virtualization Framework for IOMMU-less Many-Core Accelerators. Search on Bibsonomy MES The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
29Xuhao Chen 0001, Shengzhao Wu, Li-Wen Chang, Wei-Sheng Huang, Carl Pearson, Zhiying Wang 0003, Wen-mei W. Hwu Adaptive Cache Bypass and Insertion for Many-core Accelerators. Search on Bibsonomy MES The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
29Vincenzo Catania, Andrea Mineo, Salvatore Monteleone, Davide Patti A Low-resource and Scalable Strategy for Segment Partitioning of Many-core Nano Networks. Search on Bibsonomy MES The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
29Itai Avron, Ran Ginosar Hardware Scheduler Performance on the Plural Many-Core Architecture. Search on Bibsonomy MES@ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
29James A. Ross, David A. Richie, Song Jun Park, Dale R. Shires Parallel Programming Model for the Epiphany Many-Core Coprocessor Using Threaded MPI. Search on Bibsonomy MES@ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
29Satoshi Kawakami, Akihito Iwanaga, Koji Inoue Many-core acceleration for model predictive control systems. Search on Bibsonomy MES The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
29Jyu-Yuan Lai, Ting-Shuo Hsu, Po-Yu Chen, Chih-Tsun Huang, Yu-Hsun Chen, Jing-Jia Liou Design of high-throughput Inter-PE communication with application-level flow control protocol for many-core architectures. Search on Bibsonomy MES The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
29Akio Shimada, Balazs Gerofi, Atsushi Hori, Yutaka Ishikawa Proposing a new task model towards many-core architecture. Search on Bibsonomy MES The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
29Alexander Heinecke, Michael Klemm, Hans-Joachim Bungartz From GPGPU to Many-Core: Nvidia Fermi and Intel Many Integrated Core Architecture. Search on Bibsonomy Comput. Sci. Eng. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
29Janmartin Jahn, Sebastian Kobbe, Santiago Pagani, Jian-Jia Chen, Jörg Henkel Work in Progress: Malleable Software Pipelines for Efficient Many-core System Utilization. Search on Bibsonomy MARC Symposium The full citation details ... 2012 DBLP  BibTeX  RDF
29Panayiotis Petrides, Andreas Diavastos, Pedro Trancoso Exploring Decision Support Queries on Futured Many-Core Architectures. Search on Bibsonomy MARC Symposium The full citation details ... 2011 DBLP  BibTeX  RDF
29Alexander Arlt, Jan Hendrik Schönherr, Jan Richling Meta-programming Many-Core Systems. Search on Bibsonomy MARC Symposium The full citation details ... 2011 DBLP  BibTeX  RDF
29Claus Braun, Hans-Joachim Wunderlich Algorithmen-basierte Fehlertoleranz für Many-Core-Architekturen (Algorithm-based Fault-Tolerance on Many-Core Architectures). Search on Bibsonomy it Inf. Technol. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Boris Motruk, Jonas Diemer, Philip Axer, Rainer Buchty, Mladen Berekovic Safe Virtual Interrupts Leveraging Distributed Shared Resources and Core-to-Core Communication on Many-Core Platforms. Search on Bibsonomy PRDC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
28Jeff Parkhurst From single core to multi-core to many core: are we ready for a new exponential? Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Jeffrey Stuecheli, Dimitris Kaseridis, David Daly, Hillery C. Hunter, Lizy K. John The virtual write queue: coordinating DRAM and last-level cache policies. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cmp many-core, ddr ddr2 ddr3, dram-parameters, memory-scheduling writeback, page-mode, write-queue, write-scheduling, dram, cache-replacement, last-level-cache
27Bongjun Jin, Insung Ihm, Byungjoon Chang, Chanmin Park, Won-Jong Lee, Seokyoon Jung Selective and adaptive supersampling for real-time ray tracing. Search on Bibsonomy High Performance Graphics The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adaptive supersampling, real-time ray tracing, GPU computing, selective sampling, many-core processor
27Marco Aldinucci, Marco Danelutto, Peter Kilpatrick Co-design of Distributed Systems Using Skeleton and Autonomic Management Abstractions. Search on Bibsonomy Euro-Par Workshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF distributed programming abstractions, multi/ many core, grids, design patterns, autonomic computing, clouds, Algorithmic skeletons
27Wen-mei W. Hwu Many-core parallel computing - Can compilers and tools do the heavy lifting? Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26DiaaEldin Khalil, Yehea I. Ismail A global interconnect link design for many-core microprocessors. Search on Bibsonomy IFMT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interconnect, link, bus, repeater insertion
26Weirong Zhu, Ziang Hu, Guang R. Gao On the Role of Deterministic Fine-Grain Data Synchronization for Scientific Applications: A Revisit in the Emerging Many-Core Era. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Weirong Zhu, Ziang Hu, Guang R. Gao On the Role of Deterministic Fine-Grain Data Synchronization for Scientific Applications: A Revisit in the Emerging Many-Core Era. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Wei Huang 0004, Karthick Rajamani, Mircea R. Stan, Kevin Skadron Scaling with Design Constraints: Predicting the Future of Big Chips. Search on Bibsonomy IEEE Micro The full citation details ... 2011 DBLP  DOI  BibTeX  RDF big chips, cooling solution, power, system architecture, processor architecture, temperature, technology scaling, area, design constraints, many-core processor
23Wenbin Fang, Bingsheng He, Qiong Luo 0001, Naga K. Govindaraju Mars: Accelerating MapReduce with Graphics Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF parallel computing, MapReduce, multicore processor, graphics processor, many-core architecture
23Henrique Cota de Freitas, Lucas Mello Schnorr, Marco Antonio Zanata Alves, Philippe Olivier Alexandre Navaux Impact of Parallel Workloads on NoC Architecture Design. Search on Bibsonomy PDP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF NoC Architectures, Parallel Workloads, General-Purpose Many-Core Processors, Performance Evaluation
23Xiaolong Wu, Nady Obeid, Wen-mei W. Hwu Exploiting More Parallelism from Applications Having Generalized Reductions on GPU Architectures. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF GPUs, Reduction, Graphics Processors, Many-Core, Compiler Techniques, Automatic Transformation
23Nadathur Satish, Changkyu Kim, Jatin Chhugani, Anthony D. Nguyen, Victor W. Lee, Daehyun Kim 0001, Pradeep Dubey Fast sort on CPUs and GPUs: a case for bandwidth oblivious SIMD sort. Search on Bibsonomy SIGMOD Conference The full citation details ... 2010 DBLP  DOI  BibTeX  RDF merge network, tlp, performance, databases, sorting, buffer, merge, many-core, simd, radix
23Eric Keller, Jakub Szefer, Jennifer Rexford, Ruby B. Lee NoHype: virtualized cloud infrastructure without the virtualization. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF security, cloud computing, virtualization, multi-core, system architecture, hypervisor, many-core
23Ganesh Venkatesh, Jack Sampson, Nathan Goulding, Saturnino Garcia, Vladyslav Bryksin, Jose Lugo-Martinez, Steven Swanson, Michael Bedford Taylor Conservation cores: reducing the energy of mature computations. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF conservation core, heterogeneous many-core, utilization wall, patching
23Daniel Sánchez 0003, Richard M. Yoo, Christos Kozyrakis Flexible architectural support for fine-grain scheduling. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fine-grain scheduling, scheduling, chip-multiprocessors, messaging, many-core, work-stealing
23Yoshi Shih-Chieh Huang, Kaven Chun-Kai Chou, Chung-Ta King, Shau-Yin Tseng NTPT: on the end-to-end traffic prediction in the on-chip networks. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF end-to-end traffic prediction, network-on-chip, many-core
23Jeremy Sugerman, Kayvon Fatahalian, Solomon Boulos, Kurt Akeley, Pat Hanrahan GRAMPS: A programming model for graphics pipelines. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallel programming, GPUs, stream computing, many-core architectures, Graphics pipelines
23Guangming Tan, Ziyu Guo, Mingyu Chen 0001, Dan Meng Single-particle 3d reconstruction from cryo-electron microscopy images on GPU. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cryo-em, performance tuning, many-core, gpu
23M. Suhail Rehman, Kishore Kothapalli, P. J. Narayanan Fast and scalable list ranking on the GPU. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF irregular algorithm, parallel algorithm, gpgpu, many-core, list ranking
Displaying result #1 - #100 of 2671 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license