|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1576 occurrences of 1107 keywords
|
|
|
Results
Found 3004 publication records. Showing 3004 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
36 | Ken Layng |
Non-technical keys to keeping your personally identifiable information PII risk mitigation project on track. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGUCCS ![In: Proceedings of the ACM SIGUCCS Fall Conference on User Services 2009, St. Louis, Missouri, USA, October 11-14, 2009, pp. 223-228, 2009, ACM, 978-1-60558-477-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
PII, non-technical, management, efficiency, encryption, project, risks, strategy, sensitive, scanning, data, team, remediation, mitigate |
20 | Tzung-Shi Chen, Hua-Wen Tsai, Ying-Hung Lo, Yi-Shiang Chang |
Mitigating query hotspots for wireless sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWCMC ![In: Proceedings of the 6th International Wireless Communications and Mobile Computing Conference, IWCMC 2010, Caen, France, June 28 - July 2, 2010, pp. 94-98, 2010, ACM, 978-1-4503-0062-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
wireless sensor networks, routing, query, hotspot |
20 | Min Kyung Lee, Sara B. Kiesler, Jodi Forlizzi, Siddhartha S. Srinivasa, Paul E. Rybski |
Gracefully mitigating breakdowns in robotic services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HRI ![In: Proceedings of the 5th ACM/IEEE International Conference on Human Robot Interaction, HRI 2010, Osaka, Japan, March 2-5, 2010, pp. 203-210, 2010, ACM, 978-1-4244-4893-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
robot breakdown, robot error, service recovery, human-robot interaction, services, social robot, error recovery |
20 | Songping Wu, Pan Liu, Yeheskel Bar-Ness |
Phase Noise Estimation and Mitigation for OFDM Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Wirel. Commun. ![In: IEEE Trans. Wirel. Commun. 5(12), pp. 3616-3625, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Charneta Samms, David Jones 0001, Kelly S. Hale, Diane Mitchell |
Harnessing the Power of Multiple Tools to Predict and Mitigate Mental Overload. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (17) ![In: Engineering Psychology and Cognitive Ergonomics, 8th International Conference, EPCE 2009, Held as Part of HCI International 2009, San Diego, CA, USA, July 19-24, 2009. Proceedings, pp. 279-288, 2009, Springer, 978-3-642-02727-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
IMPRINT, MIDS, integrated toolset, multimodal, command and control, overload, mental workload |
20 | Rabia Haq, Michael L. Nelson |
Using timed-release cryptography to mitigate the preservation risk of embargo periods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
JCDL ![In: Proceedings of the 2009 Joint International Conference on Digital Libraries, JCDL 2009, Austin, TX, USA, June 15-19, 2009, pp. 183-192, 2009, ACM, 978-1-60558-322-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
time lock, timed release, cryptography, repositories |
20 | Amlan Ghosh, Rahul M. Rao, Richard B. Brown |
A centralized supply voltage and local body bias-based compensation approach to mitigate within-die process variation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009, pp. 45-50, 2009, ACM, 978-1-60558-684-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
fine-grain body-biasing, process variation compensation, slewrate |
20 | Yu Wang 0002, Xiaoming Chen 0003, Wenping Wang, Varsha Balakrishnan, Yu Cao 0001, Yuan Xie 0001, Huazhong Yang |
On the efficacy of input Vector Control to mitigate NBTI effects and leakage power. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 10th International Symposium on Quality of Electronic Design (ISQED 2009), 16-18 March 2009, San Jose, CA, USA, pp. 19-26, 2009, IEEE Computer Society, 978-1-4244-2952-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
20 | Xuhua Ding, Wei Yu 0002, Ying Pan |
A Dynamic Trust Management Scheme to Mitigate Malware Proliferation in P2P Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICC ![In: Proceedings of IEEE International Conference on Communications, ICC 2008, Beijing, China, 19-23 May 2008, pp. 1605-1609, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Haithem Al-Mefleh, J. Morris Chang |
A New ACK Policy To Mitigate the Effects of Coexisting IEEE 802.11/802.11e Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INFOCOM ![In: INFOCOM 2008. 27th IEEE International Conference on Computer Communications, Joint Conference of the IEEE Computer and Communications Societies, 13-18 April 2008, Phoenix, AZ, USA, pp. 2056-2064, 2008, IEEE, 978-1-4244-2026-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Antonio Ferreira 0001, Pedro Antunes 0001 |
An Attentive Groupware Device to Mitigate Information Overload. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSV-IS ![In: Interactive Systems. Design, Specification, and Verification, 15th International Workshop, DSV-IS 2008, Kingston, Canada, July 16-18, 2008, Revised Papers, pp. 29-42, 2008, Springer, 978-3-540-70568-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Xi Chen, Gaogang Xie, Jianhua Yang |
Mitigate DDoS attack using TTL buckets and host threatening index. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: LCN 2008, The 33rd IEEE Conference on Local Computer Networks, The Conference on Leading Edge and Practical Computer Networking, Hyatt Regency Montreal, Montreal, Quebec, Canada, 14-17 October 2008, Proceedings, pp. 525-526, 2008, IEEE Computer Society, 978-1-4244-2412-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Meeta Sharma Gupta, Krishna K. Rangan, Michael D. Smith 0001, Gu-Yeon Wei, David M. Brooks |
Towards a software approach to mitigate voltage emergencies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007, pp. 123-128, 2007, ACM, 978-1-59593-709-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
dynamic optimization framework, voltage emergencies, hardware-software codesign, di/dt |
20 | Amey Shevtekar, Nirwan Ansari |
A Proactive Test Based Differentiation Technique to Mitigate Low Rate DoS Attacks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCCN ![In: Proceedings of the 16th International Conference on Computer Communications and Networks, IEEE ICCCN 2007, Turtle Bay Resort, Honolulu, Hawaii, USA, August 13-16, 2007, pp. 639-644, 2007, IEEE, 978-1-4244-1251-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
20 | André Soares 0001, William Giozza, Paulo R. F. Cunha |
Classification Strategy to Mitigate Unfairness in All-Optical Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICON ![In: Proceedings of the 15th IEEE International Conference on Networks, ICON 2007, 19-21 November 2007, Adelaide, Australia, pp. 161-165, 2007, IEEE, 1-4244-1230-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Eric S. Fetzer |
Using Adaptive Circuits to Mitigate Process Variations in a Microprocessor Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 23(6), pp. 476-483, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
dual core, Itanium microprocessor, Montecito, adaptive circuits, cache safe technology, active clock deskew, process variation, power measurement |
20 | Rajendra V. Boppana, Saman Desilva |
Evaluation of a Stastical Technique to Mitigate Malicious Control Packets in Ad Hoc Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WOWMOM ![In: 2006 International Symposium on a World of Wireless, Mobile and Multimedia Networks (WoWMoM 2006), 26-29 June 2006, Buffalo, New York, USA, Proceedings, pp. 559-563, 2006, IEEE Computer Society, 0-7695-2593-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Yung-Pin Cheng |
Crafting a Promela Front-End with Abstract Data Types to Mitigate the Sensitivity of (Compositional) Analysis to Implementation Choices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPIN ![In: Model Checking Software, 12th International SPIN Workshop, San Francisco, CA, USA, August 22-24, 2005, Proceedings, pp. 139-153, 2005, Springer, 3-540-28195-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
20 | John D. Lee, Joshua D. Hoffman, Elizabeth Hayes |
Collision warning design to mitigate driver distraction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI ![In: Proceedings of the 2004 Conference on Human Factors in Computing Systems, CHI 2004, Vienna, Austria, April 24 - 29, 2004, pp. 65-72, 2004, ACM, 1-58113-702-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
collision warning systems, smart cars, trust, notification systems, user acceptance, distraction |
20 | Kainam Thomas Wong, S. L. A. Chan, Rafael P. Torres |
Fast-polarization-hopping transmit-diversity to mitigate prolonged deep fades. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (2) ![In: Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003, pp. 224-227, 2003, IEEE, 0-7803-7761-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
20 | Thomas F. Brady |
Energy production and trading: using computer simulation to mitigate risk in electricity generation/consumption collaboration policies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the 34th Winter Simulation Conference: Exploring New Frontiers, San Diego, California, USA, December 8-11, 2002, pp. 1575-1577, 2002, WSC, 0-7803-7615-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
20 | Dennis Mulcare |
Striving for coherency to mitigate the complexity of system design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECBS ![In: 1997 Workshop on Engineering of Computer-Based Systems (ECBS '97), March 24-28, 1997, Monterey, CA, USA, pp. 330-, 1997, IEEE Computer Society, 0-8186-7889-5. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
system design complexity, software system complexity, design product, functional architecture, embedded systems, systems analysis, design process, architectural design, system requirements, system specifications |
14 | Wihem Arsac, Luca Compagna, Samuel Paul Kaluvuri, Serena Elisa Ponta |
Security validation tool for business processes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SACMAT ![In: 16th ACM Symposium on Access Control Models and Technologies, SACMAT 2011, Innsbruck, Austria, June 15-17, 2011, Proceedings, pp. 143-144, 2011, ACM, 978-1-4503-0688-1. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Marijn Janssen, Bram Klievink |
ICT-project failure in public administration: the need to include risk management in enterprise architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DG.O ![In: Proceedings of the 11th Annual International Conference on Digital Government Research, Public Administration Online: Challenges and Opportunities, DG.O 2010, Puebla, Mexico, May 17-20, 2010, pp. 147-152, 2010, Digital Government Research Center, 978-1-4503-0070-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP BibTeX RDF |
Prince2, project failure, service-oriented architecture, e-government, project management, risk management, enterprise architecture, information architecture |
14 | Nagaraj Ns, Juan C. Rey, Jamil Kawa, Robert C. Aitken, Christian Lütkemeyer, Vijay Pitchumani, Andrzej J. Strojwas, Steve Trimberger |
Who solves the variability problem? ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010, pp. 218-219, 2010, ACM, 978-1-4503-0002-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
IC variability |
14 | Nicholas Holden, Alex Alves Freitas |
Hierarchical classification of protein function with ensembles of rules and particle swarm optimisation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Soft Comput. ![In: Soft Comput. 13(3), pp. 259-272, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Hierarchical classification, Particle swarm optimisation, Protein function prediction |
14 | Lucas Davi, Ahmad-Reza Sadeghi, Marcel Winandy |
Dynamic integrity measurement and attestation: towards defense against return-oriented programming attacks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
STC ![In: Proceedings of the 4th ACM Workshop on Scalable Trusted Computing, STC 2009, Chicago, Illinois, USA, November 13, 2009, pp. 49-54, 2009, ACM, 978-1-60558-788-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
attestation systems, integrity monitoring, return-oriented programming |
14 | Yvan Pointurier, Maïté Brandt-Pearce, Suresh Subramaniam 0001, Bo Xu |
Cross-layer adaptive routing and wavelength assignment in all-optical networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Sel. Areas Commun. ![In: IEEE J. Sel. Areas Commun. 26(6-Supplement), pp. 32-44, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Umut Orguner, Fredrik Gustafsson |
Risk-Sensitive Particle Filters for Mitigating Sample Impoverishment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Signal Process. ![In: IEEE Trans. Signal Process. 56(10-2), pp. 5001-5012, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Mel Gorman, Patrick Healy |
Supporting superpage allocation without additional hardware support. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMM ![In: Proceedings of the 7th International Symposium on Memory Management, ISMM 2008, Tucson, AZ, USA, June 7-8, 2008, pp. 41-50, 2008, ACM, 978-1-60558-134-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
superpage, fragmentation, replacement policy, tlb |
14 | Tae Hyun Kim 0001, Robert W. Heath Jr., Sunghyun Choi 0001 |
Multiuser MIMO Downlink with Limited Feedback Using Transmit-Beam Matching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICC ![In: Proceedings of IEEE International Conference on Communications, ICC 2008, Beijing, China, 19-23 May 2008, pp. 3506-3510, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Tanya G. Roosta, Wei-Chieh Liao, Wei-Chung Teng, Shankar Sastry 0001 |
Testbed Implementation of a Secure Flooding Time Synchronization Protocol. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WCNC ![In: WCNC 2008, IEEE Wireless Communications & Networking Conference, March 31 2008 - April 3 2008, Las Vegas, Nevada, USA, Conference Proceedings, pp. 3157-3162, 2008, IEEE, 978-1-4244-1997-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Sanjeev Kumar, Einar Petana |
Mitigation of TCP-SYN Attacks with Microsoft's Windows XP Service Pack2 (SP2) Software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICN ![In: Seventh International Conference on Networking (ICN 2008), 13-18 April 2008, Cancun, Mexico, pp. 238-242, 2008, IEEE Computer Society, 978-0-7695-3106-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Denial of Service (DoS) attacks, TCP SYN attack, Windows XP Service Pack2 operating system, Internet Security |
14 | Kevin Brownell, Gu-Yeon Wei, David M. Brooks |
Evaluation of voltage interpolation to address process variations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008, pp. 529-536, 2008, IEEE Computer Society, 978-1-4244-2820-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Feng Wang 0017, Lixin Gao 0001, Oliver Spatscheck, Jia Wang |
STRID: Scalable Trigger-Based Route Incidence Diagnosis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCCN ![In: Proceedings of the 17th International Conference on Computer Communications and Networks, IEEE ICCCN 2008, St. Thomas, U.S. Virgin Islands, August 3-7, 2008, pp. 48-53, 2008, IEEE, 978-1-4244-2390-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Fei Wang, Yijun Mo, Benxiong Huang |
Defending Reputation System against False Recommendation in Mobile Ad Hoc Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICNSC ![In: Proceedings of the IEEE International Conference on Networking, Sensing and Control, ICNSC 2008, Hainan, China, 6-8 April 2008, pp. 488-493, 2008, IEEE, 978-1-4244-1685-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Qiyue Zou, Alireza Tarighat, Ali H. Sayed |
Compensation of Phase Noise in OFDM Wireless Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Signal Process. ![In: IEEE Trans. Signal Process. 55(11), pp. 5407-5424, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Keqiang Wu, David J. Lilja, Haowei Bai |
An adaptive dual control framework for QoS design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Clust. Comput. ![In: Clust. Comput. 10(2), pp. 217-228, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Adaptive dual control, Quality of service, Distributed system |
14 | Shanshan Li 0001, Shaoliang Peng, Xiangke Liao, Peidong Zhu, Yuxing Peng 0001 |
A Framework for Congestion Control for Reliable Data Delivery in Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Integrated Network Management ![In: Integrated Network Management, IM 2007. 10th IFIP/IEEE International Symposium on Integrated Network Management, Munich, Germany, 21-25 May 2007, pp. 793-796, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Stefano Bistarelli, Fabio Fioravanti, Pamela Peretti |
Using CP-nets as a guide for countermeasure selection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2007 ACM Symposium on Applied Computing (SAC), Seoul, Korea, March 11-15, 2007, pp. 300-304, 2007, ACM, 1-59593-480-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Il-Horn Hann, Kai Lung Hui, Sang-Yong Tom Lee, Ivan P. L. Png |
Analyzing Online Information Privacy Concerns: An Information Processing Theory Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 40th Hawaii International International Conference on Systems Science (HICSS-40 2007), CD-ROM / Abstracts Proceedings, 3-6 January 2007, Waikoloa, Big Island, HI, USA, pp. 210, 2007, IEEE Computer Society, 0-7695-2755-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Onur Aciiçmez, Shay Gueron, Jean-Pierre Seifert |
New Branch Prediction Vulnerabilities in OpenSSL and Necessary Software Countermeasures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IMACC ![In: Cryptography and Coding, 11th IMA International Conference, Cirencester, UK, December 18-20, 2007, Proceedings, pp. 185-203, 2007, Springer, 978-3-540-77271-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
branch prediction attacks, cache eviction attacks, Binary Extended Euclidean Algorithm, software mitigation methods, OpenSSL, RSA, Side channel attacks, CRT, modular inversion |
14 | Ahmed Youssef, Tor Myklebust, Mohab Anis, Mohamed I. Elmasry |
A Low-Power Multi-Pin Maze Routing Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 8th International Symposium on Quality of Electronic Design (ISQED 2007), 26-28 March 2007, San Jose, CA, USA, pp. 153-158, 2007, IEEE Computer Society, 978-0-7695-2795-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Soon-Keow Chong, Jemal H. Abawajy |
Feedback Credibility Issues In Trust Management Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MUE ![In: 2007 International Conference on Multimedia and Ubiquitous Engineering (MUE 2007), 26-28 April 2007, Seoul, Korea, pp. 387-394, 2007, IEEE Computer Society, 978-0-7695-2777-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Thomas Sandholm, Kevin Lai |
A statistical approach to risk mitigation in computational markets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the 16th International Symposium on High-Performance Distributed Computing (HPDC-16 2007), 25-29 June 2007, Monterey, California, USA, pp. 85-96, 2007, ACM, 978-1-59593-673-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
QoS, resource allocation, service level management |
14 | Yufeng Wang 0001, Yoshiaki Hori, Kouichi Sakurai |
On Studying Front-Peer Attack-Resistant Trust and Reputation Mechanisms Based on Enhanced Spreading Activation Model in P2P Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APWeb/WAIM Workshops ![In: Advances in Web and Network Technologies, and Information Management, APWeb/WAIM 2007 International Workshops: DBMAN 2007, WebETrends 2007, PAIS 2007 and ASWAN 2007, Huang Shan, China, June 16-18, 2007, Proceedings, pp. 211-216, 2007, Springer, 978-3-540-72908-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Zhengyi Le, Yi Ouyang 0001, Yurong Xu, James Ford, Fillia Makedon |
Preventing Unofficial Information Propagation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICICS ![In: Information and Communications Security, 9th International Conference, ICICS 2007, Zhengzhou, China, December 12-15, 2007, Proceedings, pp. 113-125, 2007, Springer, 978-3-540-77047-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Jin He, Masoud Salehi |
Chip-Level Coordinated Interference-Aware Beamforming for MIMO-CDMA in Frequency-Selective Broadcast Channels. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CISS ![In: Proceedings of the 41st Annual Conference on Information Sciences and Systems, CISS 2007, 14-16 March 2007, Johns Hopkins University, Department of Electrical Engineering, Baltimore, MD, USA, pp. 874-877, 2007, IEEE, 1-4244-1037-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Luca Sterpone, Massimo Violante |
A New Reliability-Oriented Place and Route Algorithm for SRAM-Based FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 55(6), pp. 732-744, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
transient fault injection, FPGA, reliability, place and route |
14 | Jiyun Shen, Hiromasa Fujii, Takahiro Asai, Hitoshi Yoshino |
Sequential Search Method with Different Thresholds for Clipped Power for OFDM Signal. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTC Spring ![In: Proceedings of the 63rd IEEE Vehicular Technology Conference, VTC Spring 2006, 7-10 May 2006, Melbourne, Australia, pp. 2037-2041, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Engin Kirda, Christopher Krügel, Giovanni Vigna, Nenad Jovanovic |
Noxes: a client-side solution for mitigating cross-site scripting attacks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2006 ACM Symposium on Applied Computing (SAC), Dijon, France, April 23-27, 2006, pp. 330-337, 2006, ACM, 1-59593-108-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Frank S. Rietta |
Application layer intrusion detection for SQL injection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Southeast Regional Conference ![In: Proceedings of the 44st Annual Southeast Regional Conference, 2006, Melbourne, Florida, USA, March 10-12, 2006, pp. 531-536, 2006, ACM, 1-59593-315-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
anomaly detection, database security, SQL injection |
14 | Janice Warner, Vijayalakshmi Atluri |
Inter-instance authorization constraints for secure workflow management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SACMAT ![In: 11th ACM Symposium on Access Control Models and Technologies, SACMAT 2006, Lake Tahoe, California, USA, June 7-9, 2006, Proceedings, pp. 190-199, 2006, ACM, 1-59593-353-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
security, work flow, authorization constraints |
14 | Aifeng Ren, Qinye Yin 0001 |
Blind Multi-user Detection for Multi-carrier CDMA Systems with Uniform Linear Arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICNC (2) ![In: Advances in Natural Computation, Second International Conference, ICNC 2006, Xi'an, China, September 24-28, 2006. Proceedings, Part II, pp. 236-244, 2006, Springer, 3-540-45907-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | David Duncombe, George M. Mohay, Andrew J. Clark |
Synapse: auto-correlation and dynamic attack redirection in an immunologically-inspired IDS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACSW ![In: The proceedings of the Fourth Australasian Symposium on Grid Computing and e-Research (AusGrid 2006) and the Fourth Australasian Information Security Workshop (Network Security) (AISW 2006), Hobart, Tasmania, Australia, January 2006, pp. 135-144, 2006, Australian Computer Society, 1-920-68236-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP BibTeX RDF |
immunological., intrusion detection, alert correlation |
14 | Souvik Barat, Vinay Kulkarni, D. Janakiram |
A safety criterion for reusing a business process in the desired integrated. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE SCC ![In: 2006 IEEE International Conference on Services Computing (SCC 2006), 18-22 September 2006, Chicago, Illinois, USA, pp. 381-389, 2006, IEEE Computer Society, 0-7695-2670-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Hiroshi Yamamoto, Masato Tsuru, Yuji Oie |
Parallel Transferable Uniform Multi-round Algorithm for Achieving Minimum Application Turnaround Times for Divisible Workload. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC ![In: High Performance Computing and Communications, First International Conference, HPCC 2005, Sorrento, Italy, September 21-23, 2005, Proceedings, pp. 817-828, 2005, Springer, 3-540-29031-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Master/Worker model, Divisible Workload, UMR, Parallel Data Transmission, Constraint Minimization Problem |
14 | Srinivas Raghvendra, Philippe Hurat |
DFM: Linking Design and Manufacturing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 18th International Conference on VLSI Design (VLSI Design 2005), with the 4th International Conference on Embedded Systems Design, 3-7 January 2005, Kolkata, India, pp. 705-708, 2005, IEEE Computer Society, 0-7695-2264-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
14 | Ed Crowley |
Open source centric information security lab development. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGITE Conference ![In: Proceedings of the 6th Conference on Information Technology Education, SIGITE 2005, Newark, NJ, USA, October 20-22, 2005, pp. 57-63, 2005, ACM, 1-59593-252-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
knoppix, live CD, security, Linux |
14 | Vir V. Phoha, Amit U. Nadgar, Asok Ray, Shashi Phoha |
Supervisory Control of Software Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 53(9), pp. 1187-1199, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
14 | Yngvar Larsen, Geert Leus, Georgios B. Giannakis |
Constant modulus and reduced PAPR block differential encoding for frequency-selective channels. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Commun. ![In: IEEE Trans. Commun. 52(4), pp. 622-631, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
14 | Tom W. Chen, Justin Gregg |
A Low Cost Individual-Well Adaptive Body Bias (IWABB) Scheme for Leakage Power Reduction and Performance Enhancement in the Presence of Intra-Die Variations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 240-245, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
14 | Anders Edman, Christer Svensson |
Timing closure through a globally synchronous, timing partitioned design methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 71-74, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
wire delays, clock skew, timing closure |
14 | Robert J. Thomas, Timothy Mount, Ray Zimmerman, William Schulze, Richard E. Schuler, L. Duane Chapman |
Testing the Effects of Price Responsive Demand on Pay-as-Offered and Soft-Cap Electricity Auctions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 35th Hawaii International Conference on System Sciences (HICSS-35 2002), CD-ROM / Abstracts Proceedings, 7-10 January 2002, Big Island, HI, USA, pp. 54, 2002, IEEE Computer Society, 0-7695-1435-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
14 | Jonathan K. Millen, Rebecca N. Wright |
Reasoning about Trust and Insurance in a Public Key Infrastructure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSFW ![In: Proceedings of the 13th IEEE Computer Security Foundations Workshop, CSFW '00, Cambridge, England, UK, July 3-5, 2000, pp. 16-22, 2000, IEEE Computer Society, 0-7695-0671-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
cryptography, trust, logic, certificate, public key infrastructure, insurance |
14 | Raymond A. Paul, Ann T. Tai |
Building High-Assurance Systems Using COTS Components: Whether, Why, When and How? ![Search on Bibsonomy](Pics/bibsonomy.png) |
HASE ![In: 4th IEEE International Symposium on High-Assurance Systems Engineering (HASE '99), 17-19 November 1999, Washington, D.C, USA, Proceedings, pp. 115, 1999, IEEE Computer Society, 0-7695-0418-3. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
13 | Enhui Chai, Li Chen, Xingxing Hao, Wei Zhou |
Mitigate the scale imbalance via multi-scale information interaction in small object detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Neural Comput. Appl. ![In: Neural Comput. Appl. 36(4), pp. 1699-1712, February 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Fei Li, Laili Wang, Min Wu, Longyang Yu |
A Coupled-Inductor-Based Input-Parallel Output-Parallel Quasi-Resonant Single-Stage DC-DC Converter to Mitigate Current Difference. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Ind. Electron. ![In: IEEE Trans. Ind. Electron. 71(7), pp. 6512-6523, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Sheetal Kaushik, Khushboo Tripathi, Rashmi Gupta, Prerna Mahajan |
Enhancing Reliability in Mobile Ad Hoc Networks (MANETs) Through the K-AOMDV Routing Protocol to Mitigate Black Hole Attacks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SN Comput. Sci. ![In: SN Comput. Sci. 5(2), pp. 263, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Amir Reza Rahimi |
A tri-phenomenon perspective to mitigate MOOCs' high dropout rates: the role of technical, pedagogical, and contextual factors on language learners' L2 motivational selves, and learning approaches to MOOC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Smart Learn. Environ. ![In: Smart Learn. Environ. 11(1), pp. 11, December 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Hideki Kondo, Sayaka Tohyama, Ayano Ohsaki, Masayuki Yamada |
Time-shifting Method to Mitigate Discussion Stagnation and Promote SNS Collaboration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Inf. Process. ![In: J. Inf. Process. 32, pp. 139-149, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Homayoun Afshari, Zolfa Zeinalpour-Yazdi |
A Game-Theoretical and Spatial Approach to Mitigate Pilot Contamination in Crowded Massive MIMO Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Wirel. Commun. ![In: IEEE Trans. Wirel. Commun. 23(3), pp. 2357-2368, March 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Inyoung Bang, Martin Kayondo, Junseung You, Donghyun Kwon, Yeongpil Cho, Yunheung Paek |
Enhancing a Lock-and-Key Scheme With MTE to Mitigate Use-After-Frees. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 12, pp. 5462-5476, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Le Nam Pham, Khoa Dang Pham, Quoc Dung Phan, Nho-Van Nguyen |
Novel Virtual Vector SVPWM Method to Mitigate Low-Frequency Common Mode Voltage for Four-Level NPC Inverters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 12, pp. 22403-22419, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Boyang Zhou, Chunming Wu 0001, Qiang Yang 0004, Yaguan Qian, Yinghui Nie |
Resilient Sensor Data Dissemination to Mitigate Link Faults in IoT Networks With Long-Haul Optical Wires for Power Transmission Grids. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Internet Things J. ![In: IEEE Internet Things J. 11(9), pp. 15919-15939, May 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Ehsan Naderi, Arash Asrari |
A Remedial Action Scheme To Mitigate Market Power Caused by Cyberattacks Targeting a Smart Distribution System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Ind. Informatics ![In: IEEE Trans. Ind. Informatics 20(3), pp. 3197-3208, March 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Xiaohui Gu, Guoan Zhang, Wei Duan 0001, Jaeho Choi, Miaowen Wen, Pin-Han Ho |
RIS-V2V Communications: The Way to Mitigate Doppler Shifts and Multipath Spread. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Wirel. Commun. Lett. ![In: IEEE Wirel. Commun. Lett. 13(3), pp. 746-750, March 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Han Qiu 0001, Yi Zeng, Qinkai Zheng, Shangwei Guo, Tianwei Zhang 0004, Hewu Li |
An Efficient Preprocessing-Based Approach to Mitigate Advanced Adversarial Attacks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 73(3), pp. 645-655, March 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Jinghua Groppe, Sven Groppe, Daniel Senf, Ralf Möller 0001 |
There Are Infinite Ways to Formulate Code: How to Mitigate the Resulting Problems for Better Software Vulnerability Detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Inf. ![In: Inf. 15(4), pp. 216, April 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Salman Bin Naeem, Mehreen Azam, Maged N. Kamel Boulos, Rubina Bhatti |
Leveraging the TOE Framework: Examining the Potential of Mobile Health (mHealth) to Mitigate Health Inequalities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Inf. ![In: Inf. 15(4), pp. 176, April 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Mohammad Almseidin, Jamil Al-Sawwa, Mouhammd Alkasassbeh 0001, Maen Alzubi, Khaled Alrfou |
DT-ARO: Decision Tree-Based Artificial Rabbits Optimization to Mitigate IoT Botnet Exploitation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Netw. Syst. Manag. ![In: J. Netw. Syst. Manag. 32(1), pp. 14, March 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Frank John Bolton, Dov Te'eni, Eran Toch |
Can Previews Mitigate the Effect of Interruptions? Findings from a Lab Experiment under Various Workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Hum. Comput. Interact. ![In: Int. J. Hum. Comput. Interact. 40(4), pp. 956-964, February 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | F. Nisa Bostanci, Ismail Emir Yuksel, Ataberk Olgun, Konstantinos Kanellopoulos, Yahya Can Tugrul, Abdullah Giray Yaglikçi, Mohammad Sadrosadati, Onur Mutlu |
CoMeT: Count-Min-Sketch-based Row Tracking to Mitigate RowHammer at Low Cost. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2402.18769, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Gautam Kishore Shahi |
TweetInfo: An Interactive System to Mitigate Online Harm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2403.01646, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Dane Brouwer, Joshua Citron, Hojung Choi, Marion Lepert, Michael A. Lin, Jeannette Bohg, Mark R. Cutkosky |
Tactile-Informed Action Primitives Mitigate Jamming in Dense Clutter. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2402.09564, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Hao Hao Tan, Kin Wai Cheuk, Taemin Cho, Wei-Hsiang Liao, Yuki Mitsufuji |
MR-MT3: Memory Retaining Multi-Track Music Transcription to Mitigate Instrument Leakage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2403.10024, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Wei Chen, Yunan Li, Yuan Tian |
CarbonNet: How Computer Vision Plays a Role in Climate Change? Application: Learning Geomechanics from Subsurface Geometry of CCS to Mitigate Global Warming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2403.06025, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Matthew J. Skiles, Joshua D. Rhodes, Michael E. Webber |
Assessing the Potential for Building Sector Retrofits to Mitigate ERCOT Electricity Shortfalls During Winter Storm Uri. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2403.01027, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Yuu Jinnai, Tetsuro Morimura, Kaito Ariu, Kenshi Abe |
Regularized Best-of-N Sampling to Mitigate Reward Hacking for Language Model Alignment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2404.01054, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Lucas Friedrich, Jonas Maziero |
Quantum neural network with ensemble learning to mitigate barren plateaus and cost function concentration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2402.06026, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Haoqing Li, Jinfu Yang, Yifei Xu, Runshi Wang |
Mitigate Target-level Insensitivity of Infrared Small Target Detection via Posterior Distribution Modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2403.08380, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Doris E. M. Brown, Venkata Sriram Siddhardh Nadendla, Sajal K. Das 0001 |
TASR: A Novel Trust-Aware Stackelberg Routing Algorithm to Mitigate Traffic Congestion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2403.19831, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Yuhe Ke, Rui Yang, Sui An Lie, Taylor Xin Yi Lim, Hairil Rizal Abdullah, Daniel Shu Wei Ting, Nan Liu 0003 |
Enhancing Diagnostic Accuracy through Multi-Agent Conversations: Using Large Language Models to Mitigate Cognitive Bias. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2401.14589, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Zhibo Zhang, Pengfei Li, Ahmed Y. Al Hammadi, Fusen Guo, Ernesto Damiani, Chan Yeob Yeun |
Reputation-Based Federated Learning Defense to Mitigate Threats in EEG Signal Classification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2401.01896, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Junho Kim, Yeonju Kim, Yong Man Ro |
What if...?: Counterfactual Inception to Mitigate Hallucination Effects in Large Multimodal Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2403.13513, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Yijiang Pang, Hoang Bao, Jiayu Zhou |
Cross-modality debiasing: using language to mitigate sub-population shifts in imaging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2403.07888, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Minchan Kim, Minyeong Kim, Junik Bae, Suhwan Choi, Sungkyung Kim, Buru Chang |
Exploiting Semantic Reconstruction to Mitigate Hallucinations in Vision-Language Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2403.16167, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Huiyu Xiong, Lanxiao Wang, Heqian Qiu, Taijin Zhao, Benliu Qiu, Hongliang Li |
MCF-VC: Mitigate Catastrophic Forgetting in Class-Incremental Learning for Multimodal Video Captioning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2402.17680, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Md. Morshed Alam, Israt Jahan, Weichao Wang |
IoTWarden: A Deep Reinforcement Learning Based Real-time Defense System to Mitigate Trigger-action IoT Attacks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2401.08141, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Zeliang Zhang, Mingqian Feng, Zhiheng Li, Chenliang Xu |
Discover and Mitigate Multiple Biased Subgroups in Image Classifiers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2403.12777, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 3004 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|