The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase multi-VTH (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2000-2016 (18) 2018 (1)
Publication types (Num. hits)
article(3) inproceedings(16)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 11 occurrences of 11 keywords

Results
Found 19 publication records. Showing 19 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
118Jeegar Tilak Shah, Marius Evers, Jeff Trull, Alper Halbutogullari Circuit optimization for leakage power reduction using multi-threshold voltages for high performance microprocessors. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi-VTH, optimization, timing, low-power design, microprocessor, EDA, leakage power, sizing
43Takayasu Sakurai Reducing Power Consumption of CMOS VLSI's through VDD and VTH Control. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF VDD, VTH, VLSI, Low-power, CMOS
43Sherif A. Tawfik, Volkan Kursun Multi-Vth Level Conversion Circuits for Multi-VDD Systems. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Lih-Yih Chiou, Shien-Chun Luo An Energy-Efficient Dual-Edge Triggered Level-Converting Flip-Flop. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Vazgen Melikyan, Tigran Hakhverdyan, Sergey Manukyan, Aram Gevorgyan, Davit Babayan Low power OpenRISC processor with power gating, multi-VTH and multi-voltage techniques. Search on Bibsonomy EWDTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
23Theodor Hillebrand, Ludwig Karsthof, Steffen Paul, Dagmar Peters-Drolshagen Reliability-Aware Multi-Vth Domain Digital Design Assessment. Search on Bibsonomy DDECS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
23Hao Luo, Mehrdad Heydarzadeh, Mehrdad Nourani Aging-Leakage Tradeoffs Using Multi-Vth Cell Library. Search on Bibsonomy ATS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
23Pavan Kumar Bikki, P. Karuppanan Low power and high performance multi-Vth dual mode logic design. Search on Bibsonomy ICIIS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
23Chua-Chin Wang, Chia-Lung Hsieh Disturb-free 5T loadless SRAM cell design with multi-vth transistors using 28 nm CMOS process. Search on Bibsonomy ISOCC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
23Bo Wang 0020, Jun Zhou 0017, Tony Tae-Hyoung Kim SRAM devices and circuits optimization toward energy efficiency in multi-Vth CMOS. Search on Bibsonomy Microelectron. J. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
23Vazgen Melikyan, Eduard Babayan, Anush Melikyan, Davit Babayan, Poghos Petrosyan, Edvard Mkrtchyan Clock gating and multi-VTH low power design methods based on 32/28 nm ORCA processor. Search on Bibsonomy EWDTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
23Ramesh Nair, Ranga Vemuri MITH-Dyn: A multi Vth dynamic logic design style using mixed mode FinFETs. Search on Bibsonomy SoCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
23Yibo Chen, Yu Wang 0002, Yuan Xie 0001, Andrés Takach Parametric Yield-Driven Resource Binding in High-Level Synthesis with Multi-Vth/Vdd Library and Device Sizing. Search on Bibsonomy J. Electr. Comput. Eng. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
23Yibo Chen, Yuan Xie 0001, Yu Wang 0002, Andrés Takach Parametric yield driven resource binding in behavioral synthesis with multi-Vth/Vdd library. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Yibo Chen, Yuan Xie 0001, Yu Wang 0002, Andrés Takach Minimizing leakage power in aging-bounded high-level synthesis with design time multi-Vth assignment. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Abdoul Rjoub, Hassan Almanasrah Low leakage multi-Vth technique for sequential circuits at transistor level in nanotechnology. Search on Bibsonomy ICECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Sherif A. Tawfik, Volkan Kursun Multi-Vth FinFET sequential circuits with independent-gate bias and work-function engineering for reduced power consumption. Search on Bibsonomy APCCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Tsuneaki Fuse, Masako Ohta, Motoki Tokumasu, Hiroshige Fujii, Shigeru Kawanaka, Atsushi Kameyama A 0.5-V power-supply scheme for low-power system LSIs using multi-Vth SOI CMOS technology. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Andrea Calimera, Enrico Macii, Massimo Poncino, R. Iris Bahar Temperature-insensitive synthesis using multi-vt libraries. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-threshold voltage, temperature-aware, logic synthesis
Displaying result #1 - #19 of 19 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license