The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiplicand with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1975-2005 (15) 2006-2011 (15) 2013-2018 (3)
Publication types (Num. hits)
article(12) inproceedings(21)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 23 occurrences of 21 keywords

Results
Found 33 publication records. Showing 33 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
58Chia-Long Wu, Der-Chyuan Lou, Te-Jen Chang An efficient Montgomery exponentiation algorithm for public-key cryptosystems. Search on Bibsonomy ISI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
58Ali Jalali, Hamid Sarbazi-Azad The Edge Product of Networks. Search on Bibsonomy PDCAT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
53Chin-Chen Chang 0001, Ying-Tse Kuo, Chu-Hsing Lin Fast Algorithms for Common-Multiplicand Multiplication and Exponentiation by Performing Complements. Search on Bibsonomy AINA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
38Marcelo E. Kaihara, Naofumi Takagi Bipartite Modular Multiplication Method. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Algorithms, Computer arithmetic
38Mark A. Erle, Michael J. Schulte Decimal Multiplication Via Carry-Save Addition. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Louis P. Rubinfield A Proof of the Modified Booth's Algorithm for Multiplication. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF Modified Booth's algorithm, multiplicand, multiplier, partial product
34Jungjoo Seo, Kunsoo Park Fast batch modular exponentiation with common-multiplicand multiplication. Search on Bibsonomy Inf. Process. Lett. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
34Da-Zhi Sun, Jin-Peng Huai, Zhen-Fu Cao A comment on "An efficient common-multiplicand-multiplication method to the Montgomery algorithm for speeding up exponentiation". Search on Bibsonomy Inf. Sci. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
34Tao Wu, Shuguo Li, Litian Liu Fast, compact and symmetric modular exponentiation architecture by common-multiplicand Montgomery modular multiplications. Search on Bibsonomy Integr. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
34Abdalhossein Rezai, Parviz Keshavarzi High-performance modular exponentiation algorithm by using a new modified modular multiplication algorithm and common-multiplicand-multiplication method. Search on Bibsonomy WorldCIS The full citation details ... 2011 DBLP  BibTeX  RDF
34Chia-Long Wu An efficient common-multiplicand-multiplication method to the Montgomery algorithm for speeding up exponentiation. Search on Bibsonomy Inf. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Chia-Long Wu Fast exponentiation based on common-multiplicand-multiplication and minimal-signed-digit techniques. Search on Bibsonomy Int. J. Comput. Math. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Sung-Ming Yen, Wei-Chih Lien, Sang-Jae Moon Inefficiency of common-multiplicand multiplication and exponentiation algorithms by performing binary complements. Search on Bibsonomy Appl. Math. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Der-Chyuan Lou, Chia-Long Wu Parallel exponentiation using common-multiplicand-multiplication and signed-digit-folding techniques. Search on Bibsonomy Int. J. Comput. Math. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34JaeCheol Ha, Sang-Jae Moon A Common-Multiplicand Method to the Montgomery Algorithm for Speeding up Exponentiation. Search on Bibsonomy Inf. Process. Lett. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Chia-Long Wu Fast Parallel Montgomery Binary Exponentiation Algorithm Using Canonical- Signed-Digit Recoding Technique. Search on Bibsonomy ICA3PP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Montgomery reduction algorithm, cryptography, complexity analysis, number theory, algorithm design
19Anding Wang, Yier Jin, Shiju Li Dual-Residue Montgomery Multiplication. Search on Bibsonomy NPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Dual residue system, Montgomery algorithm, Parallelism
19Rui Rodrigues 0004, João M. P. Cardoso, Pedro C. Diniz A Data-Driven Approach for Pipelining Sequences of Data-Dependent Loops. Search on Bibsonomy FCCM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Ronen Goldberg, Guy Even, Peter-Michael Seidel An FPGA implementation of pipelined multiplicative division with IEEE Rounding. Search on Bibsonomy FCCM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Sanjiv Kumar Mangal, Raghavendra B. Deshmukh, Rahul M. Badghare, Rajendra M. Patrikar FPGA Implementation of Low Power Parallel Multiplier. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Jun-Cheol Jeon, Kee-Won Kim, Jai-Boo Oh, Kee-Young Yoo Modular Divider for Elliptic Curve Cryptographic Hardware Based on Programmable CA. Search on Bibsonomy International Conference on Computational Science (4) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Jun-Cheol Jeon, Kee-Won Kim, Byung-Heon Kang, Kee-Young Yoo Cellular Automata Architecture for Elliptic Curve Cryptographic Hardware. Search on Bibsonomy International Conference on Computational Science (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Yin-Tsung Hwang, Jin-Fa Lin, Ming-Hwa Sheu, Chia-Jen Sheu Low Power Multiplier Designs Based on Improved Column Bypassing Schemes. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Peter-Michael Seidel, Lee D. McFearin, David W. Matula Secondary Radix Recodings for Higher Radix Multipliers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF recoding, high radix, digit set, mixed radix representation, partial product reduction, Booth recoding, Binary multiplication
19Jun-Cheol Jeon, Kee-Won Kim, Kee-Young Yoo Evolutionary Hardware Architecture for Division in Elliptic Curve Cryptosystems over GF(2n). Search on Bibsonomy ICNC (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19David Guevorkian, Petri Liuha, Aki Launiainen, Ville Lappalainen A Family of Accelerators for Matrix-Vector Arithmetics Based on High-Radix Multiplier Structures. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Kyo-Min Ku, Kyeoung Ju Ha, Wi Hyun Yoo, Kee-Young Yoo Parallel Montgomery Multiplication and Squaring over GF(2m) Based on Cellular Automata. Search on Bibsonomy ICCSA (4) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Hao-Yung Lo, Hsiu-Feng Lin, Chichyang Chen, Jenshiuh Liu, Chia-Cheng Liu Built-in Test with Modified-Booth High-Speed Pipelined Multipliers and Dividers. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF generator, BIST, computer arithmetic, polynomials, VLSI design, multiplication, division
19Alexander Taubin, Karl Fant, John McCardle Design of Delay-Insensitive Three Dimension Pipeline Array Multiplier for Image Processing. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Peter-Michael Seidel, Lee D. McFearin, David W. Matula Binary Multiplication Radix-32 and Radix-256. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Takahiro Miomo, Koichi Yasuoka, Masanori Kanazawa The Fastest Multiplier on FPGAs with Redundant Binary Representation. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Menghui Zheng, Alexander Albicki Low power and high speed multiplication design through mixed number representations. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high speed multiplication, mixed number representations, low power multiplication, reduced switching, Sign-Magnitude, Redundant Binary adder, Booth decoder, Carry-Propagation-Free, digital arithmetic, VLSI architecture, redundant number systems, Partial Products
19Todd C. Marek A new simulator workbench for comparing SIMD processing element architectures. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #33 of 33 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license