The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiprocessing with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1962-1967 (16) 1968-1972 (16) 1973-1977 (20) 1978-1980 (21) 1981-1983 (23) 1984-1985 (18) 1986-1987 (15) 1988 (34) 1989 (37) 1990 (38) 1991 (18) 1992 (30) 1993 (30) 1994 (40) 1995 (40) 1996 (24) 1997 (16) 1998 (17) 1999-2000 (37) 2001-2002 (20) 2003-2004 (30) 2005 (36) 2006 (31) 2007 (34) 2008 (19) 2009 (15) 2010-2011 (19) 2012-2014 (20) 2015-2017 (17) 2018-2020 (20) 2021-2022 (17) 2023-2024 (10)
Publication types (Num. hits)
article(342) book(4) inproceedings(416) phdthesis(16)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2083 occurrences of 945 keywords

Results
Found 778 publication records. Showing 778 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
66John C. S. Lui, Richard R. Muntz, Donald F. Towsley Computing Performance Bounds of Fork-Join Parallel Programs Under a Multiprocessing Environment. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF performance modeling methodology, analysis of multiprocessing systems, performance evaluation, High performance computing
64Wei Qin, Joseph D'Errico, Xinping Zhu A multiprocessing approach to accelerate retargetable and portable dynamic-compiled instruction-set simulation. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF retargetable, instruction set simulator, compiled simulation
64John H. Reif, Gary L. Peterson A Dynamic Logic of Multiprocessing with Incomplete Information. Search on Bibsonomy POPL The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
56Michael S. Allen, W. Kurt Lewchuk, J. D. Coddington A high performance bus and cache controller for PowerPC multiprocessing systems. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high performance bus, cache controller, PowerPC 620 microprocessor, system bus interface, ECC protected, server-class systems, physical address bus, data bus, address transfer rates, address snoop response, direct cache-to-cache data transfers, 2 GByte/s, 133 MHz, 128 MB, performance evaluation, pipelining, multiprocessing systems, multiprocessing systems, pipeline processing, cache storage, microprocessor chips, coprocessors, cache coherency protocol, data transfer, PowerPC, system buses, co-processor
54Makoto Amamiya, Rin-Ichiro Taniguchi An Ultra-Multiprocessing Machine Architecture for Efficient Parallel Execution of Functional Languages. Search on Bibsonomy Concurrency: Theory, Language, And Architecture The full citation details ... 1989 DBLP  DOI  BibTeX  RDF Keyword Functional language, Massively parallel machine architecture, Dataflow analysis, Multiprocessing, Dataflow machine
53John Rohlf, James Helman IRIS performer: a high performance multiprocessing toolkit for real-time 3D graphics. Search on Bibsonomy SIGGRAPH The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
48Annie P. Foong, Gary L. McAlpine, Dave B. Minturn, Greg J. Regnier, Vikram A. Saletore An Architecture for Software-Based iSCSI: Experiences and Analyses. Search on Bibsonomy NETWORKING The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Asymmetric Multiprocessing, TCP optimization, iSCSI
43Michael Gschwind Chip multiprocessing and the cell broadband engine. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF compute-transfer parallelism (CTP), cell broadband engine, memory-level parallelism (MLP), chip multiprocessing, heterogeneous chip multiprocessor
41Per Stenström Chip-multiprocessing and beyond. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Ju Gyun Kim High Performance Cycle Detection Scheme for Multiprocessing Systems. Search on Bibsonomy HiPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
41Grzegorz Czajkowski Multiprocessing and portability for PDAs. Search on Bibsonomy ACM SIGOPS European Workshop The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
41Luiz André Barroso, Kourosh Gharachorloo, Robert McNamara, Andreas Nowatzyk, Shaz Qadeer, Barton Sano, Scott Smith, Robert Stets, Ben Verghese Piranha: a scalable architecture based on single-chip multiprocessing. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
38Sargur N. Srihari, Yong-Chul Shin, Vemulapati Ramanaprasad, Dar-Shyang Lee Name and Address Block Reader system for tax form processing. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF tax preparation, Name and Address Block Reader system, tax form processing, automated forms processing, integrated real time system, Internal Revenue Service, NABR system, hand printed address block images, machine printed addresses, address block extraction, label detection, hand print/machine print discrimination, address parsing, postal database lookup, loosely coupled multiprocessing architecture, real-time systems, feature extraction, character recognition, character recognition, multiprocessing systems, handwriting recognition, document image processing, document analysis, word recognition, government data processing, financial data processing, application software, document recognition, United States, connected component analysis
36Jane C. Blake Editor's Introduction: AlphaServer Multiprocessing Systems, DEC OSF/1 Symmetric Multiprocessing, Scientific Computing Optimizations for Alpha. Search on Bibsonomy Digit. Tech. J. The full citation details ... 1994 DBLP  BibTeX  RDF
36Steve Holmes Foreword: AlphaServer Multiprocessing Systems, DEC OSF/1 Symmetric Multiprocessing, Scientific Computing Optimizations for Alpha. Search on Bibsonomy Digit. Tech. J. The full citation details ... 1994 DBLP  BibTeX  RDF
36Kanad Ghose, R. Kym Horsell, Nitin K. Singhvi Hybrid Multiprocessing in OPTIMUL: A Multiprocessor for Distributed and Shared Memory Multiprocessing with WDM Optical Fiber Interconnections. Search on Bibsonomy ICPP (1) The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
35Qingying Deng, Minxuan Zhang, Jiang Jiang A Parallel Infrastructure on Dynamic EPIC SMT. Search on Bibsonomy ICA3PP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Ismail Kadayif, Mahmut T. Kandemir, Ibrahim Kolcu Exploiting Processor Workload Heterogeneity for Reducing Energy Consumption in Chip Multiprocessors. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Krisztián Flautner, Richard Uhlig, Steven K. Reinhardt, Trevor N. Mudge Thread Level Parallelism and Interactive Performance of Desktop Applications. Search on Bibsonomy ASPLOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31Dilip Sarkar Cost and Time-Cost Effectiveness of Multiprocessing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF time-cost effectiveness, performance evaluation, performance, parallel algorithms, parallel algorithms, efficiency, multiprocessing systems, multiprocessor systems, cost effectiveness, pipelined computers
31Abdol-Hossein Esfahanian, Lionel M. Ni, Bruce E. Sagan The Twisted N-Cube with Application to Multiprocessing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF twisted N-cube, n-regular graphs, graph theory, connectivity, hypercube networks, trees (mathematics), multiprocessing, disjoints paths, hypercube multiprocessors, complete binary tree, subgraph
31Neil Weste, David J. Burr, Bryan D. Ackland Dynamic Time Warp Pattern Matching Using an Integrated Multiprocessing Array. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF multiprocessing architectures, parallel processing, dynamic programming, speech recognition, pattern matching, pipelining, VLSI design, Array processor
31Butler W. Lampson A scheduling philosophy for multiprocessing systems. Search on Bibsonomy Commun. ACM The full citation details ... 1968 DBLP  DOI  BibTeX  RDF interrupt systems, scheduling, process, protection, priority, multiprocessing, time-sharing, interlocks
30Qingbo Yuan, Yungang Bao, Mingyu Chen 0001, Ninghui Sun A Scalability Analysis of the Symmetric Multiprocessing Architecture in Multi-Core System. Search on Bibsonomy NAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Joseph Devietti, Brandon Lucia, Luis Ceze, Mark Oskin DMP: deterministic shared memory multiprocessing. Search on Bibsonomy ASPLOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallel programming, debugging, multicores, determinism
30Austin Hung, William D. Bishop, Andrew A. Kennings Symmetric Multiprocessing on Programmable Chips Made Easy. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Gianfranco Bilardi, Andrea Pietracaprina, Geppino Pucci, Sebastiano Fabio Schifano, Raffaele Tripiccione The Potential of On-Chip Multiprocessing for QCD Machines. Search on Bibsonomy HiPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Per Stenström The Chip-Multiprocessing Paradigm Shift: Opportunities and Challenges. Search on Bibsonomy HiPEAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Aline Mello 0001, Leandro Möller, Ney Calazans, Fernando Gehm Moraes MultiNoC: A Multiprocessing System Enabled by a Network on Chip. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Rakesh Kumar 0002, Norman P. Jouppi, Dean M. Tullsen Conjoined-Core Chip Multiprocessing. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Eugenio Suárez Cáner, José Manoel de Seixas, Rodrigo Coura Torres A Development Environment for Multilayer Neural Network Applications Mapped onto DSPs with Multiprocessing Capabilities. Search on Bibsonomy VECPAR The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Avi Mendelson, Freddy Gabbay The effect of seance communication on multiprocessing systems. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF multicache systems, seance communication, performance analysis, cache coherency protocols
30Jay Douglas, Jonathan Gratch Adaptive Narrative: How Autonomous Agents, Hollywood, and Multiprocessing Operating Systems Can Live Happily Ever After. Search on Bibsonomy International Conference on Virtual Storytelling The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Milo M. K. Martin, Daniel J. Sorin, Harold W. Cain, Mark D. Hill, Mikko H. Lipasti Correctly implementing value prediction in microprocessors that support multithreading or multiprocessing. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Jen-Tien Yen, Qichao Richard Yin Multiprocessing design verification methodology for Motorola MPC74XX PowerPC microprocessor. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Sebastiano Aiello, Antonio Anzalone, Massimo Bartolucci, Giuseppe Cardella, Salvatore Cavallaro, Enrico De Filippo, Stefano Feminò, C. Garusi, Mario Geraci, Francesco Giustolisi, Paolo Guazzoni, Carmelo Marcello Iacono-Manno, Gaetano Lanzalone, Gaetano Lanzanò, Salvatore Lo Nigro, Giorgio Manfredi, Angelo Pagano, Massimo Papa, Sara Pirrone, Giuseppe Politi, Francesco Porto, Francesca Rizzo 0001, Salvatore Sambataro, G. Savino, Leda Sperduto, Concetta Sutera, Luisa Zetta Extending a Monoprocessor Real-Time System in a Multiprocessing Environment, DSP-Based. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Chengqing Ye, Zhonghai Wu, Changsheng Yang Study and Design of Scalable Memory-Shared Multiprocessing System. Search on Bibsonomy APDC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30Phyllis Crandall, Eranti V. Sumithasri, Mark J. Clement Performance Comparison of Desktop Multiprocessing and Workstation Cluster Computing. Search on Bibsonomy HPDC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parallel processing, shared memory, threads, distributed memory, Workstation clusters
30Kevin J. Compton, Chinya V. Ravishankar Expected Deadlock Time in a Multiprocessing System. Search on Bibsonomy J. ACM The full citation details ... 1995 DBLP  DOI  BibTeX  RDF expected time analysis, asymptotic analysis, singularity analysis
30P. J. Kulkarni, N. R. Phadnis, Vishwas Udpikar Monochrome Image Coding Using Hierarchical Closed Loop Vector Quantizer on a Multiprocessing System. Search on Bibsonomy CONPAR The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
30Robert M. Keller Some Theoretical Aspects of Applicative Multiprocessing. Search on Bibsonomy MFCS The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
30Douglas J. Theis Distributed network and multiprocessing minicomputer state-of-the-art capabilities. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
30Rhon Williams A Multiprocessing System for the Direct Execution of LISP. Search on Bibsonomy Computer Architecture for Non-Numeric Processing The full citation details ... 1978 DBLP  DOI  BibTeX  RDF LISP
30Frederick D. Abraham, Laszlo Betyar, Richard Johnston An on-line multiprocessing interactive computer system for neurophysiological investigations. Search on Bibsonomy AFIPS Spring Joint Computing Conference The full citation details ... 1968 DBLP  DOI  BibTeX  RDF
26Radu Negulescu, Janusz A. Brzozowski Relative liveness: from intuition to automated verification. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF relative liveness, finite trace theory, safety condition, hierarchical verification theorems, program verification, safety, multiprocessing systems, automata, finite automata, liveness, equivalence, multiprocessing programs, automated verification
26C. Selvakumar, C. Siva Ram Murthy Scheduling Precedence Constrained Task Graphs with Non-Negligible Intertask Communication onto Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF precedence constrained task graphs, scheduling, parallel algorithms, computational complexity, parallel program, graph theory, multiprocessors, multiprocessing systems, heuristic algorithm, heuristic programming, list scheduling, communication channels, multiprocessing programs, completion time, multiprocessor interconnectionnetworks, intertask communication, multiprocessor scheduling problem
26Ramesh Krishnamurti An Approximation Algorithm for Scheduling Tasks on Varying Partition Sizes in Partitionable Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF parameter dependent bound, asymptotically tight bound, partition sizes, partitionable multiprocessor systems, multiple partitions, minimum completion time schedule, worst-case performance bound, scheduling, parallel algorithms, computational complexity, approximation algorithm, parallelization, controller, multiprocessing systems, NP-hard, task scheduling, polynomial time algorithm, processors, multiprocessing programs
26Joo-Kang Lee, Jon T. Butler A Characterization of t/s-Diagnosability an Sequential t-Diagnosability in Designs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF t/s-diagnosability, sequential t-diagnosability, characterization theorem, single-loop system, designs, fault tolerant computing, multiprocessing system, multiprocessing systems, nodes, diagnosability, sufficient condition, faulty processors
26Robert F. Cmelik, Narain H. Gehani, William D. Roome Experience with Multiple Processor Versions of Concurrent C. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF multiple processor versions, uniprocessor version, parallel programming, parallel programming, local area network, local area networks, multiprocessing systems, shared-memory multiprocessor, execution times, C language, Concurrent C, multiprocessing programs
26Lionel M. Ni, Ching-Farn Eric Wu Design Tradeoffs for Process Scheduling in Shared Memory Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF hill climbing simulation, software bottleneck, monitor bottleneck, low process utilization, load imbalancing, memory requests, fixed monitor selection, supervisory programs, scheduling, performance evaluation, performance evaluation, multiprocessing systems, process scheduling, multiprocessing programs, shared memory multiprocessor systems
26Charles P. Thacker, Lawrence C. Stewart, Edwin H. Satterthwaite Firefly: A Multiprocessor Workstation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF Firefly shared-memory multiprocessor workstation, VLSI VAX processors, floating-point accelerator, Topaz, Ultrix system call interface, multiple threads of control, single address space, performance evaluation, cache, multiprocessing systems, threads, buffer storage, workstations, remote procedure call, multiprocessing
25James Laudon, Lawrence Spracklen The Coming Wave of Multithreaded Chip Multiprocessors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF performance, parallel programming, multithreading, Chip multiprocessing
25Christopher Ostler, Karam S. Chatha, Goran Konjevod Approximation Algorithm for Process Mapping on Network Processor Architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Intel IXP2400 architecture, programmable network processor architectures, symmetric multiprocessing, automated system-level design, NP-complete problem, process mapping, polynomial time approximation algorithm, block multithreading
25J. Gregory Steffan, Christopher B. Colohan, Antonia Zhai, Todd C. Mowry The STAMPede approach to thread-level speculation. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache coherence, automatic parallelization, Thread-level speculation, chip-multiprocessing
25Randall S. Janka, Linda M. Wills A novel codesign methodology for real-time embedded COTS multiprocessor-based signal processing systems. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF MPI/RT, VSIPL, specification and design methodology, middleware, MPI, embedded, COTS, multiprocessing, MAGIC
25Marty Humphrey, John A. Stankovic Predictable Threads for Dynamic, Hard Real-Time Environments. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF threads, Hard real-time systems, multiprocessing, real-time operating systems
25Randall S. Janka A New Development Framework Based On Efficient Middleware for Real-Time Embedded Heterogeneous Multicomputers. Search on Bibsonomy ECBS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Talaris, Application Configuration Language, PeakWare for RACE, real-time, middleware, framework, Embedded, configuration, COTS, hardware/software codesign, multiprocessing, ACL
25Alexis Vartanian, Jean-Luc Béchennec, Nathalie Drach-Temam Two Schemes to Improve the Performance of a Sort-Last 3D Parallel Rendering Machine with Texture Caches. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF texture mapping, Cache memories, parallel rendering, multiprocessing, application specific architecture
25Viorel Morariu, Mathew Cunningham, Mark Letterman A performance and portability study of parallel applications using a distributed computing testbed. Search on Bibsonomy Heterogeneous Computing Workshop The full citation details ... 1997 DBLP  DOI  BibTeX  RDF distributed computing testbed, processor data transfer, parallel test programs, MPICH, message passing interface library, synchronized communication models, striped partitioning, symmetrical multiprocessing system, networking equipment, course-grained parallel scientific applications, parallel programming, asynchronous transfer mode, ATM, case study, workstations, software portability, parallel applications, software performance, Linda, heterogeneous environments, network configurations
25Abdelghani Bellaachia, Abdou Youssef Personalized broadcasting in banyan-hypercube networks. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF personalized broadcasting, banyan-hypercube networks, hypercube based interconnection networks, multiprocessing environment, near optimal personalized broadcasting algorithm, single port communication, multiple port communication, packet switching, hypercube networks, system performance, communication time
25Ronald F. DeMara, Dan I. Moldovan The SNAP-1 Parallel AI Prototype. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF SNAP-1 parallel AI prototype, Semantic Network Array Processor, marker-propagation paradigm, natural languageunderstanding, multiprocessing clusters, dedicated communication units, tiered synchronization scheme, multiported memorynetwork, speech analysis andprocessing, knowledge representation, parallel architecture, parallel architectures, natural languages, reasoning, inference mechanisms, semantic networks, speech processing, digital signal processors, special purpose computers, parallelmachines
25Zhiwei Xu, Kai Hwang 0001 Molecule: A Language Construct for Layered Development of Parallel Programs. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF layered development, molecule type, computation mode, layered software development, iPSC, application flexibility, algorithms, parallel programs, parallel programming, parallel computers, pipelining, multicomputer, dataflow, high-level languages, multiprocessing, sequential, array processing, user friendliness, language construct, procedural language, PAL
23Xiaofeng Guo, Jinquan Dai, Long Li, Zhiyuan Lv, Prashant R. Chandra Latency Hiding in Multi-Threading and Multi-Processing of Network Applications. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Per Stenström IPDPS Panel: Is the Multi-Core Roadmap going to Live Up to its Promises? Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Lauren Sarno, Wen-mei W. Hwu, Craig Lund, Markus Levy, James R. Larus, James Reinders, Gordon Cameron, Chris Lennard, Takashi Yoshimori Corezilla: Build and Tame the Multicore Beast? Search on Bibsonomy DAC The full citation details ... 2007 DBLP  BibTeX  RDF
23Tomasz Madajczak, Henryk Krawczyk Integrating SHECS-Based Critical Sections with Hardware SMP Scheduler in TLP-CMPs. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Alessandro L. Koerich, Robert Sabourin, Ching Y. Suen A Distributed Scheme for Lexicon-Driven Handwritten Word Recognition and its Application to Large Vocabulary Problems. Search on Bibsonomy ICDAR The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Alexandre Carissimi, Marcelo Pasin Athapascan: An Experience on Mixing MPI Communications and Threads. Search on Bibsonomy PVM/MPI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23Dongming Jiang, Jaswinder Pal Singh A Methodology and an Evaluation of the SGI Origin2000. Search on Bibsonomy SIGMETRICS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23Ron Goldman 0001, Richard P. Gabriel, Carol Sexton Qlisp: An Interim Report. Search on Bibsonomy Workshop on Parallel Lisp The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
23Keith L. Clark, Ian T. Foster A Declarative Environment for Concurrent Logic Programming. Search on Bibsonomy TAPSOFT, Vol.2 The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
23Charles P. Thacker, Lawrence C. Stewart Firefly: A Multiprocessor Workstation. Search on Bibsonomy ASPLOS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
23Stephen A. Ward, Robert H. Halstead Jr. A Syntactic Theory of Message Passing. Search on Bibsonomy J. ACM The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
18Jaebeom You, Kisung Lee, Hyuk-Yoon Kwon DeepScraper: A complete and efficient tweet scraping method using authenticated multiprocessing. Search on Bibsonomy Data Knowl. Eng. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Kent D. Lee, Steve Hubbard Data Structures and Algorithms with Python - With an Introduction to Multiprocessing, Second Edition Search on Bibsonomy 2024   DOI  RDF
18Jeffrey Elcock, Nekiesha Edward An efficient ACO-based algorithm for task scheduling in heterogeneous multiprocessing environments. Search on Bibsonomy Array The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Nathan W. Schoedl, Emma J. MacKie, Michael J. Field, Eric A. Stubbs, Allan Zhang, Matthew Hibbs, Mathieu Gravey A Python Multiprocessing Approach for Fast Geostatistical Simulations of Subglacial Topography. Search on Bibsonomy Comput. Sci. Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Rodrigo Pérez-Illanes, Daniel Fernàndez-Garcia Multiprocessing for the Particle Tracking Model MODPATH. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Zefeng Qiu, Prashanth Umapathy, Qingquan Zhang, Guanqun Song, Ting Zhu Map-Reduce for Multiprocessing Large Data and Multi-threading for Data Scraping. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Anuja Dixit, Shreya Byreddy, Guanqun Song, Ting Zhu Data Classification With Multiprocessing. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Aitor Arjona, Gerard Finol, Pedro García López Transparent serverless execution of Python multiprocessing applications. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Junchao Chen 0001, Li Lu, Marko S. Andjelkovic, Markus Ulbricht 0002, Milos Krstic Adaptive Lock-Step System for Resilient Multiprocessing Architectures. Search on Bibsonomy NorCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Anjali Naudiyal, Kapil Joshi, Ajay Singh 0003, Gunjan Chhabra, Harishchander Anandaram, Anil Kumar 0009 A Review Analysis: Comparative Study On Various Machine Learning Techniques for Load Forecasting In Electric Power Distribution System with Multiprocessing. Search on Bibsonomy ICCCNT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Inho Lee, Yangki Lee, Hongjun Um, Seongmin Hong, Yongjun Park 0001 Dynamic Rate Neural Acceleration Using Multiprocessing Mode Support. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Eberle A. Rambo, Bryan Donyanavard, Minjun Seo, Florian Maurer 0003, Thawra Kadeed, Caio Batista de Melo, Biswadip Maity, Anmol Surhonne, Andreas Herkersdorf, Fadi J. Kurdahi, Nikil D. Dutt, Rolf Ernst The Self-Aware Information Processing Factory Paradigm for Mixed-Critical Multiprocessing. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Anil Kumar, Basavaraj Talawar Knowledgeable network-on-chip accelerator for fast and accurate simulations using supervised learning algorithms and multiprocessing. Search on Bibsonomy Int. J. Intell. Eng. Informatics The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Aitor Arjona, Gerard Finol, Pedro García López Transparent Serverless execution of Python multiprocessing applications. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Jia Wu 0002, Pei Xiao, Haojie Huang, Fangfang Gou, Zhixun Zhou, Zhehao Dai An Artificial Intelligence Multiprocessing Scheme for the Diagnosis of Osteosarcoma MRI Images. Search on Bibsonomy IEEE J. Biomed. Health Informatics The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Ningbo Liu, Liangli Ma, Wei Ren 0002, Muyuan Wang An Improved ACS Algorithm by CA for Task Scheduling in Heterogeneous Multiprocessing Environments. Search on Bibsonomy NCTCS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Tamás Kovácsházy, Gábor Fekete Application Experiment with the Standard Linux Services for Asymmetric Multiprocessing on Heterogeneous System on a Chips. Search on Bibsonomy MECO The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Lucas Zenichi Terada, Juan Camilo López, Cindy P. Guzmán, Marcos J. Rider, Luiz Carlos Pereira da Silva Evaluation of an IoT-based Smart Charging Algorithm for Electric Vehicles Considering Multiprocessing. Search on Bibsonomy SIoT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Xiaohe Tian, Mang I Vai A Multiprocessing Framework for Heterogeneous Biomedical Embedded Systems with the Proposal of a Finite State Machine-Based Architecture. Search on Bibsonomy MMBD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Ruben Laso, Oscar G. Lorenzo, Francisco F. Rivera, José Carlos Cabaleiro, Tomás F. Pena, Juan Ángel Lorenzo del Castillo LBMA and IMAR2: Weighted lottery based migration strategies for NUMA multiprocessing servers. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Ameema Zainab, Dabeeruddin Syed, Ali Ghrayeb, Haitham Abu-Rub, Shady S. Refaat, Mahdi Houchati, Othmane Bouhali, Santiago Bañales Lopez A Multiprocessing-Based Sensitivity Analysis of Machine Learning Algorithms for Load Forecasting of Electric Power Distribution System. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18 Value Analysis of Video Image Multiprocessing Based on Vision Sensor in the Field of Football Scientific Research. Search on Bibsonomy J. Sensors The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Ashish Tiwari, Rajeev Mohan Sharma OCC: A Hybrid Multiprocessing Computing Service Decision Making Using Ontology System. Search on Bibsonomy Int. J. Web Based Learn. Teach. Technol. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Zeeshan Raza, Irfan Ul Haq, Muhammad Muneeb, M. Omair Shafiq Energy Efficient Multiprocessing Solo Mining Algorithms for Public Blockchain Systems. Search on Bibsonomy Sci. Program. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Runxi Cui, Zhigang Chen 0001, Jia Wu 0002, Yanlin Tan, Genghua Yu A Multiprocessing Scheme for PET Image Pre-Screening, Noise Reduction, Segmentation and Lesion Partitioning. Search on Bibsonomy IEEE J. Biomed. Health Informatics The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Sam Amiri, Salman Abdi, Sara Sharifzadeh Simultaneous Multiprocessing on FPGA-CPU Heterogeneous Chips. Search on Bibsonomy ICIT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Syeed Abrar Zaoad, Tauhid Tanjim, Mir Hasan, Md. Mamun-Or-Rashid, Ibrahem Abdullah Almansour, Md. Mosaddek Khan Accelerating Message Passing Operation of GDL-Based Constraint Optimization Algorithms Using Multiprocessing. Search on Bibsonomy ISPA/BDCloud/SocialCom/SustainCom The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 778 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license