The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for pipelines with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1978-1987 (17) 1988-1990 (19) 1991-1993 (23) 1994-1995 (23) 1996-1997 (29) 1998 (20) 1999 (32) 2000 (28) 2001 (29) 2002 (52) 2003 (57) 2004 (74) 2005 (51) 2006 (84) 2007 (79) 2008 (84) 2009 (66) 2010 (41) 2011 (47) 2012 (40) 2013 (61) 2014 (61) 2015 (68) 2016 (75) 2017 (102) 2018 (99) 2019 (158) 2020 (163) 2021 (216) 2022 (213) 2023 (237) 2024 (55)
Publication types (Num. hits)
article(989) book(1) incollection(12) inproceedings(1355) phdthesis(45) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 804 occurrences of 589 keywords

Results
Found 2403 publication records. Showing 2403 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
84David W. Matula, Asger Munk Nielsen Pipelined Packet-Forwarding Floating Point: I. Foundations and a Rounder. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1997 DBLP  DOI  BibTeX  RDF pipelined packet forwarding floating point, packet forwarding floating point format, rounder design, packet forwarding format, standard binary IEEE 754 floating point format, multiplication algorithms, ALU pipeline paradigm, data hazards, pipelined floating point operations, execution phases, multiplier packet forwarding pipelines, execution phase, logic levels, multiplier pipelines, forwarding pipelines, IEEE 754 binary floating point compatibility, pipeline arithmetic, data dependent operations
77Jeremy Sugerman, Kayvon Fatahalian, Solomon Boulos, Kurt Akeley, Pat Hanrahan GRAMPS: A programming model for graphics pipelines. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallel programming, GPUs, stream computing, many-core architectures, Graphics pipelines
68Christopher W. Milner, Jack W. Davidson Quick piping: a fast, high-level model for describing processor pipelines. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF embedded systems, pipelines, modeling of computer architecture
67Hans M. Jacobson, Prabhakar Kudva, Pradip Bose, Peter W. Cook, Stanley Schuster Synchronous Interlocked Pipelines. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF progressive stalls, synchronous, Pipeline, asynchronous, clock gating, elastic, interlocked
59Montek Singh, Steven M. Nowick High-Throughput Asynchronous Pipelines for Fine-Grain Dynamic Datapaths. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fine-grain pipelining, VLSI, pipelines, asynchronous, dynamic logic, FIFO, high-throughput, digital design
59Ronald D. Williams, Brian D. Kuebert Reconfigurable Pipelines in VLIW Execution Units. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF very long instruction word, pipelines, reconfigurable computing
58Weirong Jiang, Viktor K. Prasanna Parallel IP lookup using multiple SRAM-based pipelines. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
58Gennette Gill, Ankur Agiwal, Montek Singh, Feng Shi 0010, Yiorgos Makris Low-Overhead Testing of Delay Faults in High-Speed Asynchronous Pipelines. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
58Eric Sprangle, Doug Carmean Increasing Processor Performance by Implementing Deeper Pipelines. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Pipeline depth, Pipeline
50Tevfik Kosar, George Kola, Miron Livny Data pipelines: enabling large scale multi-protocol data transfers. Search on Bibsonomy Middleware for Grid Computing The full citation details ... 2004 DBLP  DOI  BibTeX  RDF data pipelines, fault-tolerance, distributed systems, grid, replication, mass storage systems, bulk data transfers
49Montek Singh, Steven M. Nowick The Design of High-Performance Dynamic Asynchronous Pipelines: Lookahead Style. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
49Feng Shi 0010, Yiorgos Makris A Transistor-Level Test Strategy for C^2MOS MOUSETRAP Asynchronous Pipelines. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Rajit Manohar, Clinton Kelly IV, John Teifel, David Fang, David Biermann Energy-Efficient Pipelines. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF energy-time metrics, pipeline dynamics, low-power design
49Jakob Engblom, Bengt Jonsson 0001 Processor Pipelines and Their Properties for Static WCET Analysis. Search on Bibsonomy EMSOFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Jeffrey S. Simonoff, Carlos E. Restrepo, Rae Zimmerman, Zvia Naphtali Analysis of Electrical Power and Oil and Gas Pipeline Failures. Search on Bibsonomy Critical Infrastructure Protection The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Electrical power, oil and gas pipelines, count regression models, risk
45Weirong Jiang, Qingbo Wang, Viktor K. Prasanna Beyond TCAMs: An SRAM-Based Parallel Multi-Pipeline Architecture for Terabit IP Lookup. Search on Bibsonomy INFOCOM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
45Hashem Hashemi Najaf-abadi A procedure for obtaining a behavioral description for the control logic of a non-linear pipeline. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
45Yau Chin, John Sheu, David M. Brooks Evaluating Techniques for Exploiting Instruction Slack. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
45Pierfrancesco Bellini, M. Buonopane, Paolo Nesi Assessment of a Flexible Architecture for Distributed Control*. Search on Bibsonomy Program. Comput. Softw. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
45Erik R. Altman, Ramaswamy Govindarajan, Guang R. Gao Scheduling and Mapping: Software Pipelining in the Presence of Structural Hazards. Search on Bibsonomy PLDI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
41Martin Fleury, Andy C. Downton, Adrian F. Clark Performance Metrics for Embedded Parallel Pipelines. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF real-time systems, Performance prediction, order statistics, parallel pipelines
41Bruce R. Childers, Jack W. Davidson Architectural Considerations for Application-Specific Counterflow Pipelines. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Application-specific integrated processors, counterflow pipelines, architectural synthesis
41David A. Kearney, Neil W. Bergmann Performance evaluation of asynchronous logic pipelines with data dependent processing delays. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF asynchronous logic pipelines, data dependent processing delays, logic stages, data dependent delay, two valued random variable, performance evaluation, performance evaluation, asynchronous circuits, pipeline processing, latches
40Craig Chambers, Ashish Raniwala, Frances Perry, Stephen Adams 0001, Robert R. Henry 0001, Robert Bradshaw, Nathan Weizenbaum FlumeJava: easy, efficient data-parallel pipelines. Search on Bibsonomy PLDI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF java, mapreduce, data-parallel programming
40Tsung-Te Lai, Tiffany Yu-Han Chen, Hao-Hua Chu, Polly Huang PipeProbe: mapping hidden water pipelines. Search on Bibsonomy SenSys The full citation details ... 2009 DBLP  DOI  BibTeX  RDF water pipe, accelerometer, pressure sensor
40David Koop, Carlos Eduardo Scheidegger, Steven P. Callahan, Juliana Freire, Cláudio T. Silva VisComplete: Automating Suggestions for Visualization Pipelines. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Se-gon Roh, Do Wan Kim, Jung-Sub Lee, Hyungpil Moon, Hyouk Ryeol Choi Modularized in-pipe robot capable of selective navigation Inside of pipelines. Search on Bibsonomy IROS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Montek Singh, Steven M. Nowick The Design of High-Performance Dynamic Asynchronous Pipelines: High-Capacity Style. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Gerhard Lienhart, Andreas Kugel, Reinhard Männer Rapid development of high performance floating-point pipelines for scientific simulation. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Y. Du, Sisi Zlatanova An Approach for 3D Visualization of Pipelines. Search on Bibsonomy 3D-GIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Sunan Tugsinavisut, Youpyo Hong, Daewook Kim, Kyeounsoo Kim, Peter A. Beerel Efficient asynchronous bundled-data pipelines for DCT matrix-vector multiplication. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40Rong Hu, Hao Ye, Guizeng Wang, Chen Lu Leak detection in pipelines based on PCA. Search on Bibsonomy ICARCV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Heather Quinn, Laurie A. Smith King, Miriam Leeser, Waleed Meleis Runtime Assignment of Reconfigurable Hardware Components for Image Processing Pipelines. Search on Bibsonomy FCCM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
40Rahul Sukthankar, Antoine Brusseau, Ray Pelletier, Robert G. Stockton JGram: Rapid Development of Multi-Agent Pipelines for Real-World Tasks. Search on Bibsonomy ASA/MA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Markus Weinhardt, Wayne Luk Pipeline Vectorization for Reconfigurable Systems. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF hardware pipelines, FPGAs, reconfigurable computing, vectorization, loop transformations
37James O. Bondi, Ashwini K. Nanda, Simonjit Dutta Integrating a Misprediction Recovery Cache (MRC) into a Superscalar Pipeline. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF branch target buffer technology, deep pipelines, misprediction recovery cache integration, performance loss, residual misprediction penalty, superscalar pipeline, microprocessor chips, microprocessor designs, CISC, multiple instructions
36David E. Shaw Architectures and algorithms for millisecond-scale molecular dynamics simulations of proteins. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Shengyuan Jiang, Xudong Jiang, Jie Lu, Jianyong Li, Xiangyan Lv Research on a Tri-axial Differential-Drive In-Pipe Robot. Search on Bibsonomy ICIRA (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF in-pipe robot, elbow, differential drive, tri-axial differential mechanism
36Rashad S. Oreifej, Abdelhalim Alsharqawi, Abdel Ejnioui Synthesis of Pipelined SRSL Circuits. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Pierfrancesco Bellini, M. Buonopane, M. Montanelli, Paolo Nesi Evaluating a Flexible Architecture for Distributed Control. Search on Bibsonomy ICECCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF systems assessment, PCI, CANBUS, performance evaluation, Communication, distributed control, CNC, fieldbus, numerical control
36Hai Zhao, Nicole Marie Sabine, Edwin Hsing-Mean Sha Improving self-timed pipeline ring performance through the addition of buffer loops. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF buffer circuits, self-timed pipeline ring performance, buffer loops, communication scheme, communication delay reduction, data communication delay, pace handshaking overhead, initial system configuration, performance evaluation, delays, timing, logic design, asynchronous circuits, pipeline processing
36Krishna V. Palem, Barbara B. Simons Scheduling Time-Critical Instructions on RISC Machines. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF RISC machine scheduling, NP-complete, latency, compiler optimization, register allocation, greedy algorithm, instruction scheduling, deadline, RISC, pipeline processor
32Steven M. Nowick, Montek Singh High-Performance Asynchronous Pipelines: An Overview. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF elastic circuits, latch controllers, pipelines, asynchronous, dynamic logic, design and test, micropipelines
32Smitha Shyam, Kypros Constantinides, Sujay Phadke, Valeria Bertacco, Todd M. Austin Ultra low-cost defect protection for microprocessor pipelines. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF defect-protection, reliability, pipelines, low-cost
32Bruce R. Childers, Jack W. Davidson Custom Wide Counterflow Pipelines for High-Performance Embedded Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Counterflow pipelines, automatic architectural synthesis, application-specific processors
32Recep O. Ozdag, Peter A. Beerel High-Speed QDI Asynchronous Pipelines. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF conditional split, conditional join, QDI, pipelines, asynchronous, dynamic logic, joins, non-linear, fine-grain, micropipelines, forks
32Weiping Shi, Ming-Feng Chang, W. Kent Fuchs Harvest Rate of Reconfigurable Pipelines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Harvest rate, pipelines, yield, random graphs, defect tolerance, percolation, reconfigurable arrays
32Lionel M. Ni, Kai Hwang 0001 Vector-Reduction Techniques for Arithmetic Pipelines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1985 DBLP  DOI  BibTeX  RDF Arithmetic pipelines, multiple vector processing, vector reduction, matrix algebra, VLSI architecture, interleaving
31Masa-Aki Fukase, Atsuko Yokoyama, Tomoaki Sato A ubiquitous processor embedded with progressive cipher pipelines. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF hardware cryptography, random number addressing, single chip processor, pipeline, ubiquitous
31Hoon Lim, Jae Youn Choi, Young Sik Kwon, Eui-Jung Jung, Byung-Ju Yi SLAM in indoor pipelines with 15mm diameter. Search on Bibsonomy ICRA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Qishi Wu, Yi Gu, Mengxia Zhu, Nageswara S. V. Rao Optimizing network performance of computing pipelines in distributed environments. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Weirong Jiang, Viktor K. Prasanna Multi-terabit ip lookup using parallel bidirectional pipelines. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ip lookup, terabit, pipeline, sram, bidirectional
31Zhigang Yang, Wen Gao 0001, Yan Liu 0014, Debin Zhao Software Pipelines Design for Variable Block-Size Motion Estimation with Large Search Range. Search on Bibsonomy ICIP (5) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Pekka Jääskeläinen, Vladimír Guzma, Jarmo Takala Resource Conflict Detection in Simulation of Function Unit Pipelines. Search on Bibsonomy SAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Andrew Havlir, David Z. Pan Simultaneous Statistical Delay and Slew Optimization for Interconnect Pipelines. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Jeni Tennison Processing XML documents with pipelines. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2006 DBLP  DOI  BibTeX  RDF XML, pipeline, transformation, processing
31Valentin Gies, Thierry M. Bernard, Alain Mérigot Convergent micro-pipelines: a versatile operator for mixed asynchronous-synchronous computations. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Lior Shamir, Robert J. Nemiroff Using Fuzzy Logic for Automatic Analysis of Astronomical Pipelines. Search on Bibsonomy FSKD (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Peggy B. McGee, Steven M. Nowick A lattice-based framework for the classification and design of asynchronous pipelines. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF protocols, framework, pipeline, asynchronous, digital design
31Mark A. Franklin, Vinayak Joshi SimplePipe: A Simulation Tool for Task Allocation and Design of Processor Pipelines with Application to Network Processors. Search on Bibsonomy MASCOTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Christian Jacobi 0002 Formal Verification of Complex Out-of-Order Pipelines by Combining Model-Checking and Theorem-Proving. Search on Bibsonomy CAV The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Recep O. Ozdag, Peter A. Beerel, Montek Singh, Steven M. Nowick High-Speed Non-Linear Asynchronous Pipelines. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Oliver Hauck, A. Katoch, Sorin A. Huss VLSI System Design Using Asynchronous Wave Pipelines: A 0.35?m CMOS 1.5 GHz Elliptic Curve Public Key Cryptosystem Chip. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31Gang Qu 0001, Darko Kirovski, Miodrag Potkonjak, Mani B. Srivastava Energy minimization of system pipelines using multiple voltages. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31Sam Siewert, Marty Humphrey A Real-Time Execution Performance Agent Interface to Parametrically Controlled In-Kernel Pipelines. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
31Jack S. Walicki, John D. Laughlin Operation scheduling in reconfigurable, multifunction pipelines. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
28Eriko Nurvitadhi, James C. Hoe, Shih-Lien Lu, Timothy Kam Automatic multithreaded pipeline synthesis from transactional datapath specifications. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF automatic pipelining, datapath specification, design exploration of x86 processor pipelines, multithreading, hardware synthesis
28Ian Gorton, Adam Wynne, Justin Almquist, Jack Chatterton The MeDICi Integration Framework: A Platform for High Performance Data Streaming Applications. Search on Bibsonomy WICSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF integration, pipelines, component model
28Xiuli Sun, Jinzhao Wu, Xiaoyu Song, Mila E. Majster-Cederbaum Formal Specification of an Asynchronous Processor via Action Refinement. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF pipelines, microprocessors, asynchronous circuits, Action refinement
28Haitham Akkary, Srikanth T. Srinivasan, Konrad Lai Recycling waste: exploiting wrong-path execution to improve branch prediction. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF deep pipelines, branch prediction, instruction reuse
28Naveen Kumar Polapally, Raghu Machiraju, Dhabaleswar K. Panda 0001 Feature estimation for efficient streaming. Search on Bibsonomy VolVis The full citation details ... 2002 DBLP  DOI  BibTeX  RDF footprint estimation, visualization pipelines, data streaming, parallel implementations, multiresolution methods
28Asger Munk Nielsen, David W. Matula, Chung Nan Lyu, Guy Even Pipelined Packet-Forwarding Floating Point: II. An Adder. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1997 DBLP  DOI  BibTeX  RDF pipelined packet forwarding floating point, floating point addition algorithm, adder pipeline design, packet forwarding pipeline paradigm, data hazards, deeply pipelined floating point pipelines, four stage execution phase pipeline, short clock period, fifteen logic levels, addition algorithm, standard binary floating point format, standard IEEE 754 rounded result, two cycle latency, cooperating multiplier pipeline, packet forwarding operand, IEEE 754 binary floating point compatibility, pipeline arithmetic
28Georg Färber, Franz Fischer, Thomas Kolloch, Annette Muth Improving processor utilization with a task classification model based application specific hard real-time architecture. Search on Bibsonomy RTCSA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF task classification model, application specific hard real-time architecture, real-time architecture, target architecture framework, tightly coupled heterogeneous multiprocessor system, rapid prototyping platform, caches, pipelines, microprocessors, templates, schedulability analysis, execution times, software prototyping, hard real time systems, processor utilization
28Carl Ebeling, Darren C. Cronquist, Paul Franklin Configurable computing: the catalyst for high-performance architectures. Search on Bibsonomy ASAP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF high-performance architectures, cost-performance, application-specific computation pipelines, static configuration, FPGAs, computational complexity, computer architectures, configurable computing, dynamic control, RaPiD, application-specific hardware
28Harsha Sathyamurthy, Sachin S. Sapatnekar, John P. Fishburn Speeding up pipelined circuits through a combination of gate sizing and clock skew optimization. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF acyclic pipelines, area-delay tradeoff, clock skew optimization, cycle-borrowing, logic design, combinational circuits, logic CAD, pipeline processing, circuit CAD, circuit optimisation, gate sizing, logic gates, pipelined circuits, timing specifications
28Yih-Farn Chen, Glenn S. Fowler, Eleftherios Koutsofios, Ryan S. Wallach Ciao: a graphical navigator for software and document repositories. Search on Bibsonomy ICSM The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Ciao, graphical navigator, document repositories, maintenance task, virtual database pipelines, structural connections, source document collection, Aero architecture style, entity-relationship databases, directed attributed graphs, graph analysis operators, presentation filters, graph views, source views, information retrieval, database, reverse engineering, query processing, software maintenance, browsing, directed graphs, data visualisation, programmers, entity-relationship modelling, software repositories, database queries, software documents, relational views
28Michael Golden, Trevor N. Mudge A comparison of two pipeline organizations. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF pipelines, cache memory, RISC, memory system, interlocks
27Dongsoo Kang, Chen Liu 0001, Jean-Luc Gaudiot The Impact of Speculative Execution on SMT Processors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Speculation control, Simultaneous multithreading, Thread scheduling, Confidence estimator
27Rama Sangireddy, Jatan P. Shah Operand-Load-Based Split Pipeline Architecture for High Clock Rate and Commensurable IPC. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Jason C. Chen, Shao-Yi Chien CRISP: Coarse-Grained Reconfigurable Image Stream Processor for Digital Still Cameras and Camcorders. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Johannes Jendrsczok, Patrick Ediger, Rolf Hoffmann A scalable configurable architecture for the massively parallel GCA model. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Tom Gross, Nicolai Marquardt CollaborationBus: An Editor for the Easy Configuration of Ubiquitous Computing Environments. Search on Bibsonomy PDP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Allan Hartstein, Thomas R. Puzak The optimum pipeline depth considering both power and performance. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Pipeline Depth, Power and Performance, Workload Specificity, Simulation
27Allan Hartstein, Thomas R. Puzak Optimum Power/Performance Pipeline Depth. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Sunan Tugsinavisut, Suwicha Jirayucharoensak, Peter A. Beerel An asynchronous pipeline comparisons with application to DCT matrix-vector multiplication. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Dong-In Kang, Richard Gerber 0001, Manas Saksena Parametric Design Synthesis of Distributed Embedded Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Design synthesis, statistical performance, distributed systems, embedded systems, soft real-time
27Ramaswamy Govindarajan, Erik R. Altman, Guang R. Gao A Theory for Software-Hardware Co-Scheduling for ASIPs and Embedded Processors. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Krishna V. Palem, Barbara B. Simons Scheduling Time-Critical Instructions on RISC Machines. Search on Bibsonomy POPL The full citation details ... 1990 DBLP  DOI  BibTeX  RDF RISC
27Eric Schnepf The Performance of Linear Algebra Subprograms on the Siemens S Series. Search on Bibsonomy CONPAR The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
27Desi Rhoden, Chris Wilcox Hardware acceleration for Window systems. Search on Bibsonomy SIGGRAPH The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
25Davaadorj Battulga Contributions à la gestion des pipelines de traitement de flux dans les environnements de fog computing. (Contributions to the management of stream processing pipelines in fog computing environments). Search on Bibsonomy 2023   RDF
25Sumon Biswas, Mohammad Wardat, Hridesh Rajan The Art and Practice of Data Science Pipelines: A Comprehensive Study of Data Science Pipelines In Theory, In-The-Small, and In-The-Large. Search on Bibsonomy ICSE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
25Sumon Biswas, Mohammad Wardat, Hridesh Rajan The Art and Practice of Data Science Pipelines: A Comprehensive Study of Data Science Pipelines In Theory, In-The-Small, and In-The-Large. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
25Priscilla Balestrucci, Katrin Angerbauer, Cristina Morariu, Robin Welsch, Lewis L. Chuang, Daniel Weiskopf, Marc O. Ernst, Michael Sedlmair Pipelines Bent, Pipelines Broken: Interdisciplinary Self-Reflection on the Impact of COVID-19 on Current and Future Research (Position Paper). Search on Bibsonomy BELIV The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Konstantinos Mantzoukas Runtime monitoring of security SLAs for big data pipelines: design implementation and evaluation of a framework for monitoring security SLAs in big data pipelines with the assistance of run-time code instrumentation. Search on Bibsonomy 2020   RDF
21 Synchronous Pipelines. Search on Bibsonomy Encyclopedia of Database Systems The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Daniel Zinn, Shawn Bowers, Timothy M. McPhillips, Bertram Ludäscher X-CSR: Dataflow Optimization for Distributed XML Process Pipelines. Search on Bibsonomy ICDE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Eric Wohlstadter, Peng Li, Brett Cannon Web Service Mashup Middleware with Partitioning of XML Pipelines. Search on Bibsonomy ICWS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Daniele Ravì, Tony Meccio, Giuseppe Messina, Mirko Guarnera JBIG for Printer Pipelines: A Compression Test. Search on Bibsonomy CCIW The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Praveen Jayachandran, Tarek F. Abdelzaher Delay composition in preemptive and non-preemptive real-time pipelines. Search on Bibsonomy Real Time Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Pipelined distributed systems, Delay composition, Schedulability, End-to-end delay
Displaying result #1 - #100 of 2403 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license