The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for prefetching with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1978-1989 (15) 1990-1991 (17) 1992-1993 (23) 1994 (20) 1995 (30) 1996 (52) 1997 (51) 1998 (55) 1999 (67) 2000 (66) 2001 (92) 2002 (96) 2003 (92) 2004 (118) 2005 (139) 2006 (107) 2007 (113) 2008 (104) 2009 (82) 2010 (72) 2011 (49) 2012 (49) 2013 (58) 2014 (50) 2015 (49) 2016 (56) 2017 (63) 2018 (71) 2019 (51) 2020 (58) 2021 (45) 2022 (60) 2023 (45) 2024 (7)
Publication types (Num. hits)
article(639) book(2) incollection(6) inproceedings(1448) phdthesis(27)
Venues (Conferences, Journals, ...)
CoRR(60) MICRO(55) ISCA(45) ICS(38) IEEE Trans. Computers(37) ICCD(36) IPDPS(35) HPCA(30) ASPLOS(29) IEEE Trans. Parallel Distribut...(29) Euro-Par(22) ICPP(22) J. Supercomput.(21) SIGMETRICS(20) IEEE PACT(18) PaCT(18) More (+10 of total 716)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1652 occurrences of 756 keywords

Results
Found 2122 publication records. Showing 2122 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
149Binny S. Gill, Luis Angel D. Bathen Optimal multistream sequential prefetching in a shared cache. Search on Bibsonomy ACM Trans. Storage The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Adaptive prefetching, asynchronous prefetching, degree of prefetch, fixed prefetching, multistream read, optimal prefetching, prefetch wastage, prestaging, sequential prefetching, synchronous prefetching, trigger distance, cache pollution
143Edward David Moreno Ordonez, Sergio Takeo Kofuji Performance evaluation of the fixed sequential prefetching on a bus-based multiprocessor: preliminary results. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF fixed sequential prefetching, bus-based multiprocessor, sequential prefetching, OBL policy, performance evaluation, performance evaluation, Petri nets, Petri nets, shared memory systems, shared memory systems, cache storage, data prefetching
142Seungryul Choi, Nicholas Kohout, Sumit Pamnani, Dongkeun Kim, Donald Yeung A general framework for prefetch scheduling in linked data structures and its application to multi-chain prefetching. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF memory parallelism, pointer-chasing code, Data prefetching
126Seung Woo Son 0001, Sai Prashanth Muralidhara, Ozcan Ozturk 0001, Mahmut T. Kandemir, Ibrahim Kolcu, Mustafa Karaköy Profiler and compiler assisted adaptive I/O prefetching for shared storage caches. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF shared storage cache, adaptive, compiler, profiler, prefetching
111Gokul B. Kandiraju, Anand Sivasubramaniam Going the Distance for TLB Prefetching: An Application-Driven Study. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Application-driven Study, Simulation, Prefetching, Memory Hierarchy, Translation Lookaside Buffer
111Sunil Kim, Alexander V. Veidenbaum Stride-directed Prefetching for Secondary Caches. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Secondary Caches, Stride Detection, Memory Hierarchy, Data Prefetching
111Dean M. Tullsen, Susan J. Eggers Effective Cache Prefetching on Bus-Based Multiprocessors Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF bus-based multiprocessors, memory latency hiding, false sharing, cache prefetching
103Jun Yan 0008, Wei Zhang 0002 WCET analysis of instruction caches with prefetching. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF instruction cache, hard real-time, worst-case execution time analysis, instruction prefetching
98Zhe Zhang 0005, Kyuhyung Lee, Xiaosong Ma, Yuanyuan Zhou 0001 PFC: Transparent Optimization of Existing Prefetching Strategies for Multi-Level Storage Systems. Search on Bibsonomy ICDCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
98Zhenlin Wang, Doug Burger, Steven K. Reinhardt, Kathryn S. McKinley, Charles C. Weems Guided Region Prefetching: A Cooperative Hardware/Software Approach. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
97Surendra Byna, Yong Chen 0001, Xian-He Sun A Taxonomy of Data Prefetching Mechanisms. Search on Bibsonomy ISPAN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Prefetching Taxonomy, Prefetching Survey, Data Prefetching
97Shimin Chen, Anastassia Ailamaki, Phillip B. Gibbons, Todd C. Mowry Improving hash join performance through prefetching. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF CPU cache performance, CPU cache prefetching, group prefetching, software-pipelined prefetching, Hash join
95Jun Yan 0008, Wei Zhang 0002 Analyzing the worst-case execution time for instruction caches with prefetching. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF instruction caches, hard real-time, Worst-case execution time analysis, instruction prefetching
95Xiaotong Zhuang, Santosh Pande Power-efficient prefetching via bit-differential offset assignment on embedded processors. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF bit-differential addressing, offset assignment, embedded processors, data prefetching
92Yong Chen 0001, Surendra Byna, Xian-He Sun Data access history cache and associated data prefetching mechanisms. Search on Bibsonomy SC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF data access performance, prefetching simulation, cache memory, data prefetching, memory performance
91Kyle J. Nesbit, James E. Smith 0001 Data Cache Prefetching Using a Global History Buffer. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
91Xin Chen 0034, Xiaodong Zhang 0001 Coordinated data prefetching by utilizing reference information at both proxy and web servers. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
89Yan Solihin, Jaejin Lee, Josep Torrellas Correlation Prefetching with a User-Level Memory Thread. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF correlation prefetching, memory-side prefetching, intelligent memory architecture, Prefetching, heterogeneous system, processing-in-memory, helper threads
88Zhe Zhang 0005, Amit Kulkarni, Xiaosong Ma, Yuanyuan Zhou 0001 Memory resource allocation for file system prefetching: from a supply chain management perspective. Search on Bibsonomy EuroSys The full citation details ... 2009 DBLP  DOI  BibTeX  RDF memory cache, prefetching, supply chain management
88Seung Woo Son 0001, Mahmut T. Kandemir, Mustafa Karaköy, Dhruva R. Chakrabarti A compiler-directed data prefetching scheme for chip multiprocessors. Search on Bibsonomy PPoPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF compiler, chip multiprocessors, prefetching, helper thread
88Xiaotong Zhuang, Santosh Pande Power-efficient prefetching for embedded processors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF bit-differential addressing, offset assignment, embedded processors, Data prefetching
88Chuanpeng Li, Kai Shen, Athanasios E. Papathanasiou Competitive prefetching for concurrent sequential I/O. Search on Bibsonomy EuroSys The full citation details ... 2007 DBLP  DOI  BibTeX  RDF competitive prefetching, performance evaluation, I/O
84Surendra Byna, Yong Chen 0001, Xian-He Sun Taxonomy of Data Prefetching for Multicore Processors. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF taxonomy of prefetching strategies, memory hierarchy, multicore processors, data prefetching
83Yao Guo 0001, Saurabh Chheda, Israel Koren, C. Mani Krishna 0001, Csaba Andras Moritz Energy-Aware Data Prefetching for General-Purpose Programs. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
83Geoffrey M. Voelker, Eric J. Anderson, Tracy Kimbrel, Michael J. Feeley, Jeffrey S. Chase, Anna R. Karlin, Henry M. Levy Implementing Cooperative Prefetching and Caching in a Globally-Managed Memory System. Search on Bibsonomy SIGMETRICS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
83Shyh-An Chi, R.-Ming Shiu, Jih-Ching Chiu, Si-En Chang, Chung-Ping Chung Instruction Cache Prefetching with Extended BTB. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
83Chi-Keung Luk, Todd C. Mowry Compiler-Based Prefetching for Recursive Data Structures. Search on Bibsonomy ASPLOS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
80Surendra Byna, Yong Chen 0001, Xian-He Sun, Rajeev Thakur, William Gropp Parallel I/O prefetching using MPI file caching and I/O signatures. Search on Bibsonomy SC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF I/O signatures, prefetching, parallel I/O, MPI-IO
80Bin Wu 0014, Ajay D. Kshemkalyani Objective-Optimal Algorithms for Long-Term Web Prefetching. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hit rate, optimal object selection, World Wide Web, randomized algorithm, bandwidth, Web server, Web caching, content distribution, Web prefetching, Web object
80Jason F. Cantin, Mikko H. Lipasti, James E. Smith 0001 Stealth prefetching. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multiprocessors, prefetching, coherence
80Wei-Guang Teng, Cheng-Yue Chang, Ming-Syan Chen Integrating Web Caching and Web Prefetching in Client-Side Proxies. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF caching, prefetching, Web Proxy
80Wook-Shin Han, Kyu-Young Whang, Yang-Sae Moon A Formal Framework for Prefetching Based on the Type-Level Access Pattern in Object-Relational DBMSs. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF type-level access patterns, type-level access locality, Prefetching, object-relational DBMSs
80Thomas R. Puzak, Allan Hartstein, Philip G. Emma, Viji Srinivasan When prefetching improves/degrades performance. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF prefetching algorithm, cache, prefetch, coverage, accuracy, timeliness
80Christos Bouras, Agisilaos Konidaris, Dionysios Kostoulas Predictive Prefetching on the Web and Its Potential Impact in the Wide Area. Search on Bibsonomy World Wide Web The full citation details ... 2004 DBLP  DOI  BibTeX  RDF caching, Web Prefetching, prediction algorithms, trace based simulation
80Cheng-Zhong Xu 0001, Tamer I. Ibrahim A Keyword-Based Semantic Prefetching Approach in Internet News Services. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF NewsAgent, personalized news service, semantic locality, neural networks, prefetching
80Fredrik Dahlgren, Per Stenström Evaluation of Hardware-Based Stride and Sequential Prefetching in Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Hardware-controlled prefetching, relaxed memory consistency, performance evaluation, shared-memory multiprocessors, latency tolerance
80David J. Lilja The Impact of Parallel Loop Scheduling Strategies on Prefetching in a Shared Memory Multiprocessor. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF parallel loop scheduling, numerical Fortran programs, single-word cache blocks, guidedself-scheduling, scheduling, parallel programming, prefetching, shared memory multiprocessor, shared memory systems, cache coherence, trace-driven simulations, buffer storage, data caches, memory performance, false sharing, performanceevaluation, cache pollution
77Jiang Lin, Hongzhong Zheng, Zhichun Zhu, Zhao Zhang 0010, Howard David DRAM-Level Prefetching for Fully-Buffered DIMM: Design, Performance and Power Saving. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF channel bandwidth utilization, DRAM-level prefetching, dynamic random access memory, fully-buffered DIMM, dual in-line memory module, redundant bandwidth, memory block, L2 cache block, DRAM power consumption, SPEC2000 program, software cache prefetching, idle memory latency, power saving, multicore processor, memory controller, interconnect structure, DRAM chip
77Yan Solihin, Josep Torrellas, Jaejin Lee Using a User-Level Memory Thread for Correlation Prefetching. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF intelligent memory, correlation prefetching, caches, computer architecture, memory hierarchies, threads, data prefetching, processing-in-memory
77Fredrik Dahlgren, Michel Dubois 0001, Per Stenström Sequential Hardware Prefetching in Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Hardware-controlled prefetching, sequential prefetching, performance evaluation, shared-memory multiprocessors, memory consistency models, latency tolerance
76Lizy Kurian John, Vinod Reddy, Paul T. Hulina, Lee D. Coraor A comparative evaluation of software techniques to hide memory latency. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF comparative software evaluation, software oriented techniques, superscalar machines, superpipelined machines, software cache prefetching, data fetch request, software controlled prefetching, aggressive prefetching, memory bandwidth requirements, bus traffic, performance, parallel machines, program compilers, processor scheduling, software performance evaluation, software pipelining, pipeline processing, microarchitecture, cache storage, instruction set architecture, memory latency, loop unrolling, static scheduling, conditional branches
75Santhosh Srinath, Onur Mutlu, Hyesoon Kim, Yale N. Patt Feedback Directed Prefetching: Improving the Performance and Bandwidth-Efficiency of Hardware Prefetchers. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
75Daniel Ortega, Eduard Ayguadé, Jean-Loup Baer, Mateo Valero Cost-Effective Compiler Directed Memory Prefetching and Bypassing. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
75Nicholas Kohout, Seungryul Choi, Dongkeun Kim, Donald Yeung Multi-Chain Prefetching: Effective Exploitation of Inter-Chain Memory Parallelism for Pointer-Chasing Codes. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
75Abdel-Hameed A. Badawy, Aneesh Aggarwal, Donald Yeung, Chau-Wen Tseng Evaluating the impact of memory system performance on software prefetching and locality optimizations. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
75Robert Cooksey, Dennis Colarelli, Dirk Grunwald Content-Based Prefetching: Initial Results. Search on Bibsonomy Intelligent Memory Systems The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
75Haifeng Yu, Gershon Kedem DRAM-Page Based Prediction and Prefetching. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
75Alexander I-Chi Lai, Chin-Laung Lei Data Prefetching for Distributed Shared Memory Systems. Search on Bibsonomy HICSS (1) The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
73Huaiyu Zhu 0002, Yong Chen 0001, Xian-He Sun Timing local streams: improving timeliness in data prefetching. Search on Bibsonomy ICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF prefetching performance, prefetching simulation, cache memory, data prefetching
72Ben Liang 0001, Stephen Drew, Da Wang Performance of multiuser network-aware prefetching in heterogeneous wireless systems. Search on Bibsonomy Wirel. Networks The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Mobile prefetching, Performance modelling, Heterogeneous wireless networks, Queuing analysis
72Lin Lin, Xueming Li, Hong Jiang 0001, Yifeng Zhu, Lei Tian 0001 AMP: An Affinity-Based Metadata Prefetching Scheme in Large-Scale Distributed Storage Systems. Search on Bibsonomy CCGRID The full citation details ... 2008 DBLP  DOI  BibTeX  RDF data mining, metadata, Prefetching, distributed storage
72Chi-Keung Luk, Robert Muth, Harish Patil, Richard Weiss 0001, P. Geoffrey Lowney, Robert S. Cohn Profile-guided post-link stride prefetching. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF address strides, post-link optimizations, profiling, data prefetching, memory latency
72Dong-Young Lee, Heon Young Yeom Tip Prefetching: Dealing with the Bit Rate Variability of Video Streams. Search on Bibsonomy ICMCS, Vol. 2 The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Resource Management, Prefetching, Smoothing
69Yi Zhang, Steve Haga, Rajeev Barua Execution History Guided Instruction Prefetching. Search on Bibsonomy J. Supercomput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hardware prefetching, instruction cache, memory latency, instruction prefetching
67Jaejin Lee, Changhee Jung, Daeseob Lim, Yan Solihin Prefetching with Helper Threads for Loosely Coupled Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
67Adam Manzanares, Kiranmai Bellam, Xiao Qin 0001 A prefetching scheme for energy conservation in parallel disk systems. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
67Hou Rui, Longbing Zhang, Weiwu Hu A Hybrid Hardware/Software Generated Prefetching Thread Mechanism on Chip Multiprocessors. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
67Bin Wu 0014, Ajay D. Kshemkalyani Objective-Greedy Algorithms for Long-Term Web Prefetching. Search on Bibsonomy NCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
67Punit R. Doshi, Geraldine E. Rosario, Elke A. Rundensteiner, Matthew O. Ward A Strategy Selection Framework for Adaptive Prefetching in Data Visualization. Search on Bibsonomy SSDBM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
67Wei Jin, Rakesh D. Barve, Kishor S. Trivedi A Simple Characterization of Provably Efficient Prefetching Algorithms. Search on Bibsonomy DSN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
67Wook-Shin Han, Yang-Sae Moon, Kyu-Young Whang, Il-Yeol Song Prefetching Based on Type-Level Access Pattern in Object-Relational DBMSs. Search on Bibsonomy ICDE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
67Parthasarathy Ranganathan, Vijay S. Pai, Hazim Abdel-Shafi, Sarita V. Adve The Interaction of Software Prefetching with ILP Processors in Shared-Memory Systems. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
67Jim Pierce, Trevor N. Mudge Wrong-path Instruction Prefetching. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
67Zheng Zhang 0001, Josep Torrellas Speeding Up Irregular Applications in Shared-Memory Multiprocessors: Memory Binding and Group Prefetching. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
67Cheng-Yue Chang, Ming-Syan Chen A new cache replacement algorithm for the integration of web caching and prefectching. Search on Bibsonomy CIKM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF caching, prefetching, web proxy
64Shiow-Yang Wu, Jungchu Hsu, Chieh-Ming Chen Headlight prefetching for mobile media streaming. Search on Bibsonomy MobiDE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF prefetching, media streaming, mobile data management
64Brendon Cahoon, Kathryn S. McKinley Simple and effective array prefetching in Java. Search on Bibsonomy Java Grande The full citation details ... 2002 DBLP  DOI  BibTeX  RDF array prefetching, Java, static analysis, memory optimization
64Aneesh Aggarwal Software caching vs. prefetching. Search on Bibsonomy MSP/ISMM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF memory optimization, software prefetching, software caching
64Chi-Keung Luk, Todd C. Mowry Architectural and compiler support for effective instruction prefetching: a cooperative approach. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF compiler optimization, instruction prefetching
64Sungju Park, Dongman Lee, Mingyu Lim, Chansu Yu Scalable data management using user-based caching and prefetching in distributed virtual environments. Search on Bibsonomy VRST The full citation details ... 2001 DBLP  DOI  BibTeX  RDF caching and prefetching, scalable data management, distributed virtual environments, user interest, DVEs
64Yoon-Young Lee, Dae-Wha Seo, Chei-Yol Kim Table-Comparison Prefetching in VIA-based Parallel File System. Search on Bibsonomy CLUSTER The full citation details ... 2001 DBLP  DOI  BibTeX  RDF virtual interface architecture, prefetching, Parallel file system
64Chi-Keung Luk, Todd C. Mowry Automatic Compiler-Inserted Prefetching for Pointer-Based Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF pointer-based applications, performance evaluation, Caches, prefetching, compiler optimization, shared-memory multiprocessors, recursive data structures
64Todd C. Mowry Tolerating Latency in Multiprocessors Through Compiler-Inserted Prefetching. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF prefetching, compiler optimization
64Nils Knafla A Prefetching Technique for Object-Oriented Databases. Search on Bibsonomy BNCOD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF application access pattern, performance analysis, distribution, object-oriented databases, multithreading, prefetching, storage management
64Myoung Kwon Tcheun, Hyunsoo Yoon, Seung Ryoul Maeng An adaptive sequential prefetching scheme in shared-memory multiprocessors. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF adaptive sequential prefetching scheme, hardware controlled scheme, high sequentiality, shared-memory multiprocessors, shared memory systems, application programs, sequentiality, memory accesses
64Pei Cao, Edward W. Felten, Anna R. Karlin, Kai Li 0001 Implementation and Performance of Integrated Application-Controlled File Caching, Prefetching, and Disk Scheduling. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF application-controlled resource management, file prefetching, disk scheduling, file caching
64Jeffrey Scott Vitter, P. Krishnan Optimal Prefetching via Data Compression. Search on Bibsonomy J. ACM The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Markov source, fault rate, secondary stage, universal prefetcher, databases, caching, prediction, data compression, prefetching, hypertext, competitive analysis
62Andrea Prati 0001 Exploring multimedia applications locality to improve cache performance. Search on Bibsonomy ACM Multimedia The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
61Nils Knafla Speed Up Your Database Client with Adaptable Multithreaded Prefetching. Search on Bibsonomy HPDC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF database client, adaptable multithreaded prefetching, complex object benchmark, multiple clients, multiple servers, buffer pool sizes, multithreading, prefetching, client-server systems, client/server, object database
61Shlomit S. Pinter, Adi Yoaz Tango: A Hardware-Based Data Prefetching Technique for Superscalar Processors. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF LRU mechanism, SPEC92 benchmark, Tango, base line architecture, hardware-based data prefetching technique, memory reference instructions, program progress graph, performance, parallel processing, instruction level parallelism, simulation results, superscalar processors, branch target buffer, instruction prefetching, hardware resources, slack time
61Chi-Hung Chi, Siu-Chung Lau Reducing data access penalty using intelligent opcode-driven cache prefetching. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF data access penalty, intelligent opcode-driven, LOAD-UPDATE, LOAD-MODIFY, IBM PowerPC, HP Precision Architecture, intelligent data prefetching, instruction decode unit, storage management, data cache, cache storage, cache prefetching
60Johann Márquez-Barja, Josep Domenech 0001, José A. Gil 0001, Ana Pont An Intelligent Technique for Controlling Web Prefetching Costs at the Server Side. Search on Bibsonomy Web Intelligence The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
60Xin Jin An Approach to Web Prefetching Agent Based on Web Ontology with Hidden Markov Model. Search on Bibsonomy IFSA (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
60Juan Chen 0001, Yong Dong, Huizhan Yi, Xuejun Yang Power-Aware Software Prefetching. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
60Mehdi Modarressi, Maziar Goudarzi, Shaahin Hessabi Application-Specific Hardware-Driven Prefetching to Improve Data Cache Performance. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
60Lei Shi 0001, Bing Song, Xiaoguang Ding, Zhimin Gu, Lin Wei Web Prefetching Control Model Based on Prefetch-Cache Interaction. Search on Bibsonomy SKG The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
60Jiwei Lu, Howard Chen 0002, Rao Fu, Wei-Chung Hsu, Bobbie Othmer, Pen-Chung Yew, Dong-yuan Chen The Performance of Runtime Data Cache Prefetching in a Dynamic Optimization System. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Linux, Intel
60Xin Chen 0034, Xiaodong Zhang 0001 Accurately Modeling Workload Interactions for Deploying Prefetching in Web Servers. Search on Bibsonomy ICPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
60Massimiliano Curcio, Stefano Leonardi 0001, Andrea Vitaletti An Experimental Study of Prefetching and Caching Algorithms for the World Wide Web. Search on Bibsonomy ALENEX The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
60Brendon Cahoon, Kathryn S. McKinley Data Flow Analysis for Software Prefetching Linked Data Structures in Java. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
60Dan Foygel, Dennis Strelow Reducing Web Latency with Hierarchical Cache-Based Prefetching. Search on Bibsonomy ICPP Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
60Spiridon Bakiras, Victor O. K. Li Smoothing and Prefetching Video from Distributed Servers. Search on Bibsonomy ICNP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
60Meenakshi Arunachalam, Alok N. Choudhary, Brad Rullman Implementation and Evaluation of Prefetching in the Intel Paragon Parallel File System. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
60Yue Liu, David R. Kaeli Branch-Directed and Stride-Based Data Cache Prefetching. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
60Kenneth M. Curewitz, P. Krishnan, Jeffrey Scott Vitter Practical Prefetching via Data Compression. Search on Bibsonomy SIGMOD Conference The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
57Eiman Ebrahimi, Onur Mutlu, Yale N. Patt Techniques for bandwidth-efficient prefetching of linked data structures in hybrid prefetching systems. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
57Akshat Verma, Sandeep Sen Combating I-O bottleneck using prefetching: model, algorithms, and ramifications. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Prediction sequence, Sorting, Prefetching, Memory hierarchy, External memory algorithms
57Zhen He, Alonso Marquez Path and cache conscious prefetching (PCCP). Search on Bibsonomy VLDB J. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Clustering, Databases, Caching, Prefetching
57Ronald G. Dreslinski, Ali G. Saidi, Trevor N. Mudge, Steven K. Reinhardt Analysis of hardware prefetching across virtual page boundaries. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF prefetching, virtual memory
57Lei Shi 0001, Ying-Jie Han, Xiaoguang Ding, Lin Wei, Zhimin Gu An SPN-Based Integrated Model for Web Prefetching and Caching. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF performance evaluation, web caching, stochastic Petri nets, web prefetching
Displaying result #1 - #100 of 2122 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license