The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for slack with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1988 (17) 1989-1992 (17) 1993-1994 (16) 1995-1996 (24) 1997-1998 (27) 1999 (16) 2000-2001 (32) 2002 (43) 2003 (50) 2004 (71) 2005 (84) 2006 (95) 2007 (97) 2008 (80) 2009 (57) 2010 (41) 2011 (26) 2012 (18) 2013 (28) 2014 (29) 2015 (24) 2016 (40) 2017 (34) 2018 (45) 2019 (33) 2020 (33) 2021 (22) 2022 (39) 2023 (33) 2024 (7)
Publication types (Num. hits)
article(429) book(1) incollection(9) inproceedings(738) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 748 occurrences of 442 keywords

Results
Found 1217 publication records. Showing 1178 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
107Brian A. Fields, Rastislav Bodík, Mark D. Hill Slack: Maximizing Performance Under Technological Constraints. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF microexecution, technological constraints, wire delay, clusters, performance analysis, power, circuit complexity, critical path, program behavior, slack
100Eugene Shragowitz, Habib Youssef, Bing Lu Iterative Converging Algorithms for Computing Bounds on Durations of Activities in Pert and Pert-Like Models. Search on Bibsonomy J. Comb. Optim. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF duration of activities, scheduling, approximation algorithms, directed acyclic graphs, NP-complete problems, critical path, timing bounds, PERT, slack
80Subrata Acharya, Rabi N. Mahapatra A Dynamic Slack Management Technique for Real-Time Distributed Embedded Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Periodic Service Rate, fault-tolerance, Real-Time, Energy Efficient, Slack
80Reetuparna Das, Onur Mutlu, Thomas Moscibroda, Chita R. Das Aérgia: exploiting packet latency slack in on-chip networks. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-core, packet scheduling, memory systems, arbitration, prioritization, on-chip networks
80Yu Hu 0002, Yan Lin 0001, Lei He 0001, Tim Tuan Simultaneous time slack budgeting and retiming for dual-Vdd FPGA power reduction. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, low power, retiming
71Ruey-Maw Chen, Shih-Tang Lo, Yueh-Min Huang Solving Inequality Constraints Job Scheduling Problem by Slack Competitive Neural Scheme. Search on Bibsonomy IEA/AIE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Slack neuron, Competitive Hopfield neural network, Scheduling, Real-time
71Srivathsan Krishnamohan, Nihar R. Mahapatra Increasing the energy efficiency of pipelined circuits via slack redistribution. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF slack passing, time borrowing, low-power design
71Ravindra Jejurikar, Rajesh K. Gupta 0001 Dynamic slack reclamation with procrastination scheduling in real-time embedded systems. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF critical speed, dynamic slack reclamation, task procrastication, real-time systems, leakage power, low power scheduling
62Yen-Hung Lin, Shu-Hsin Chang, Yih-Lang Li Critical-trunk based obstacle-avoiding rectilinear steiner tree routings for delay and slack optimization. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF elmore delay model, obstacle-avoiding rectilinear steiner tree, performance-driven routing, worst negative slack, timing constraint
62Xiang Qiu, Yuchun Ma, Xiangqing He, Xianlong Hong IPOSA: A Novel Slack Distribution Algorithm for Interconnect Power Optimization. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interconnect power, piecewise model, slack
62Jian-Jia Chen, Chuan-Yue Yang, Tei-Wei Kuo Slack Reclamation for Real-Time Task Scheduling over Dynamic Voltage Scaling Multiprocessors. Search on Bibsonomy SUTC (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Slack Reclamation, Multiprocessor Scheduling, Energy-Efficient Scheduling, Real-Time Task Scheduling
62Yan Lin 0001, Yu Hu 0002, Lei He 0001, Vijay Raghunat An efficient chip-level time slack allocation algorithm for Dual-Vdd FPGA power reduction. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF time slack, FPGA, low power
62Yan Lin 0001, Lei He 0001 Leakage efficient chip-level dual-Vdd assignment with time slack allocation for FPGA power reduction. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF programmable-Vdd, time slack, FPGA, low power
62Kenneth Fazel, Lun Li, Mitchell A. Thornton, Robert B. Reese, Cherrice Traver Performance enhancement in phased logic circuits using automatic slack-matching buffer insertion. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF phased logic, slack matching buffer insertion, asynchronous
62Kyu-won Choi, Abhijit Chatterjee HA2TSD: hierarchical time slack distribution for ultra-low power CMOS VLSI. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF gate-level power optimization, time slack distribution, low-power design
62Shantanu Dutt, Hasan Arslan Efficient timing-driven incremental routing for VLSI circuits using DFS and localized slack-satisfaction computations. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
62T.-H. Hubert Chan, Michael Dinitz, Anupam Gupta 0001 Spanners with Slack. Search on Bibsonomy ESA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
62Kai Wang 0011, Malgorzata Marek-Sadowska Potential Slack Budgeting with Clock Skew Optimization. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
62Kyu-won Choi, Abhijit Chatterjee PA-ZSA (Power-Aware Zero-Slack Algorithm): A Graph-Based Timing Analysis for Ultra-Low Power CMOS VLSI. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
54Kiranmai Bellam, Raghava K. Vudata, Xiao Qin 0001, Ziliang Zong, Xiaojun Ruan, Mais Nijim Interplay of Security and Reliability using Non-uniform Checkpoints. Search on Bibsonomy ICCCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
54Ting-Yuan Wang, Jeng-Liang Tsai, Charlie Chung-Ping Chen Sensitivity guided net weighting for placement driven synthesis. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect, sensitivity analysis, physical synthesis, timing driven placement, net weight
54Haoxing Ren, David Zhigang Pan, David S. Kung 0001 Sensitivity guided net weighting for placement driven synthesis. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect, sensitivity analysis, physical synthesis, timing driven placement, net weight
53Chunming Hu, Jinpeng Huai, Tianyu Wo Flexible Resource Reservation Using Slack Time for Service Grid. Search on Bibsonomy ICPADS (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FIRST, quality of service, admission control, resource reservation, service grid, slack time
53Rabi N. Mahapatra, Wei Zhao 0001 An Energy-Efficient Slack Distribution Technique for Multimode Distributed Real-Time Embedded Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF traffic descriptor, slack management, service rate, low-power, Multimode
53Dakai Zhu 0001, Rami G. Melhem, Bruce R. Childers Scheduling with Dynamic Voltage/Speed Adjustment Using Slack Reclamation in Multiprocessor Real-Time Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF slack sharing, scheduling, Real-time systems, multiprocessor
53En-Shou Chang, Daniel Gajski, Sanjiv Narayan An optimal clock period selection method based on slack minimization criteria. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF clock slack, scheduling, performance estimation, clock period
53Yan Lin 0001, Lei He 0001 Dual-Vdd Interconnect With Chip-Level Time Slack Allocation for FPGA Power Reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
53Jens Vygen Slack in static timing analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
53Ankur Srivastava 0001, Seda Ogrenci Memik, Bo-Kyung Choi, Majid Sarrafzadeh On effective slack management in postscheduling phase. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
53Caixue Lin, Scott A. Brandt Improving Soft Real-Time Performance through Better Slack Reclaiming. Search on Bibsonomy RTSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
53Woonseok Kim, Jihong Kim 0001, Sang Lyul Min A Dynamic Voltage Scaling Algorithm for Dynamic-Priority Hard Real-Time Systems Using Slack Time Analysis. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
53Gregory A. Muthler, David Crowe, Sanjay J. Patel, Steven Lumetta Instruction fetch deferral using static slack. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Ruey-Maw Chen, Shih-Tang Lo, Yueh-Min Huang Solving Multiprocessor Real-Time System Scheduling with Enhanced Competitive Scheme. Search on Bibsonomy ICONIP (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Slack neuron, Scheduling, Hopfield neural network, Competitive learning
46Yeonseung Ryu, Seok Won Hong, Hyuk Soo Jang, Sehyeong Cho, Chung Ki Lee An Admission Control Algorithm for Scheduling Mixed Traffic in Ubiquitous Environment. Search on Bibsonomy EUC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Mixed-traffic scheduling, Slack stealing, Admission control, Ubiquitous network
46Hyungill Kim, Sungyoung Lee, Jongwon Lee Alternative priority scheduling in dynamic priority systems. Search on Bibsonomy ICECCS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF dynamic priority systems, Alternative Priority Scheduling, aperiodic task scheduling, slack calculation, optimality, computational complexity, processor scheduling
45Dmitry G. Korzun, Andrei V. Gurtov A local equilibrium model for P2P resource ranking. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
45Bill Lin 0001, Jun (Jim) Xu, Nan Hua, Hao Wang 0006, Haiquan (Chuck) Zhao A randomized interleaved DRAM architecture for the maintenance of exact statistics counters. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
45Sipat Triukose, Zhihua Wen, Michael Rabinovich Content delivery networks: how big is big enough? Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
45Alma Riska, Erik Riedel Evaluation of disk-level workloads at different time scales. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
45Xiaoyu Mao, Nico Roos, Alfons H. Salden Stable multi-project scheduling of airport ground handling services by heterogeneous agents. Search on Bibsonomy AAMAS (1) The full citation details ... 2009 DBLP  BibTeX  RDF airport ground handling, multiagent system, uncertainty
45Sunita Sarawagi, Rahul Gupta Accurate max-margin training for structured output spaces. Search on Bibsonomy ICML The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
45Haoxing Ren, David Zhigang Pan, David S. Kung 0001 Sensitivity guided net weighting for placement-driven synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
44Yongseok Oh, Eunsam Kim, Jongmoo Choi, Donghee Lee 0001, Sam H. Noh Optimizations of LFS with slack space recycling and lazy indirect block update. Search on Bibsonomy SYSTOR The full citation details ... 2010 DBLP  DOI  BibTeX  RDF lazy indirect block update, slack space recycling, cleaning, log-structured file system
44Michael Dinitz Compact routing with slack. Search on Bibsonomy PODC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF compact routing, slack
44Goran Konjevod, Andréa W. Richa, Donglin Xia, Hai Yu 0005 Compact routing with slack in low doubling dimension. Search on Bibsonomy PODC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF name-indpendent routing, routing with slack, compact routing, doubling dimension
44Xinjie Wei, Yici Cai, Xianlong Hong Effective Acceleration of Iterative Slack Distribution Process. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Kaushal R. Gandhi, Nihar R. Mahapatra Exploiting data-dependent slack using dynamic multi-VDD to minimize energy consumption in datapath circuits. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44Piyush Prakash, Alain J. Martin Slack Matching Quasi Delay-Insensitive Circuits. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44Sungchae Lim The Dynamic Sweep Scheme Using Slack Time in the Zoned Disk. Search on Bibsonomy DASFAA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44Shih-Hsu Huang, Chun-Hua Cheng A formal approach to the slack driven scheduling problem in high-level synthesis. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
44Yau Chin, John Sheu, David M. Brooks Evaluating Techniques for Exploiting Instruction Slack. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
44Christoph Albrecht, Bernhard Korte, Jürgen Schietke, Jens Vygen Cycle time and slack optimization for VLSI-chips. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Junghoon Lee, Gyung-Leen Park, Shafqat Ur Rehman A real-time message scheduler support for dual-sink mobile ad-hoc sensor networks. Search on Bibsonomy SAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dual-sink, message slack, real-time message scheduler, timeliness requirement, sensor network, ns-2
37Yu-Hang Tsai, Kuochen Wang, Jheng-Ming Chen A deferred-workload-based inter-task dynamic voltage scaling algorithm for portable multimedia devices. Search on Bibsonomy IWCMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF actual workload, deferred-workload-based, inter-task dynamic voltage scaling, worst-case execution time, hard real-time system, slack time
37Jheng-Ming Chen, Kuochen Wang, Ming-Ham Lin Energy Efficient Scheduling for Real-Time Systems with Mixed Workload. Search on Bibsonomy EUC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF mixed workload real-time system, inter-task dynamic voltage scaling, actual workload, worst case-execution time, slack time
37Yeonseung Ryu Scheduling Mixed Traffic under Earliest-Deadline-First Algorithm. Search on Bibsonomy ISCIS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Slack stealing, Real-time scheduling, Packet scheduling
36Srinivas R. Kashyap, Jeyashankher Ramamirtham, Rajeev Rastogi, Pushpraj Shukla Efficient Constraint Monitoring Using Adaptive Thresholds. Search on Bibsonomy ICDE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Shiyan Hu, Qiuyang Li, Jiang Hu, Peng Li 0001 Utilizing Redundancy for Timing Critical Interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Pravanjan Choudhury, P. P. Chakrabarti 0001, Rajeev Kumar 0004 Online Dynamic Voltage Scaling using Task Graph Mapping Analysis for Multiprocessors. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Anne Bracy, Amir Roth Serialization-Aware Mini-Graphs: Performance with Fewer Resources. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Dakai Zhu 0001 Reliability-Aware Dynamic Energy Management in Dependable Embedded Real-Time Systems. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Michael D. Powell, Ethan Schuchman, T. N. Vijaykumar Balancing Resource Utilization to Mitigate Power Density in Processor Pipelines. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Ittai Abraham, Yair Bartal, T.-H. Hubert Chan, Kedar Dhamdhere, Anupam Gupta 0001, Jon M. Kleinberg, Ofer Neiman, Aleksandrs Slivkins Metric Embeddings with Relaxed Guarantees. Search on Bibsonomy FOCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Fen Xie, Margaret Martonosi, Sharad Malik Efficient behavior-driven runtime dynamic voltage scaling policies. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF runtime dynamic voltage scaling, low power
36Chunhong Chen, Xiaojian Yang, Majid Sarrafzadeh Predicting potential performance for digital circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Ajay Dudani, Frank Mueller 0001, Yifan Zhu Energy-conserving feedback EDF scheduling for embedded systems with real-time constraints. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF scheduling, real-time systems, dynamic voltage scaling
35Myunggwon Hwang, Dongjin Choi, Pankoo Kim Least Slack Time Rate First: New Scheduling Algorithm for Multi-Processor Environment. Search on Bibsonomy CISIS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-processor scheduling, least slack time rate, LSTR, scheduling algorithm, optimal scheduling
35Girish Venkataramani, Seth Copen Goldstein Slack analysis in the system design loop. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF slack analysis, system design loop, timing update
35Sujan Pandey, Rolf Drechsler Slack Allocation Based Co-Synthesis and Optimization of Bus and Memory Architectures for MPSoCs. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Tao Luo 0002, David Newmark, David Z. Pan Total power optimization combining placement, sizing and multi-Vt through slack distribution management. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Daniel Noack, Oliver Rose A simulation based optimization algorithm for slack reduction and workforce scheduling. Search on Bibsonomy WSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Gennette Gill, Vishal Gupta, Montek Singh Performance estimation and slack matching for pipelined asynchronous architectures with choice. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Andrew C. Ling, Jianwen Zhu, Stephen Dean Brown Delay driven AIG restructuring using slack budget management. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF aig, budget management, logic synthesis, network flow
35Minje Jun, Kwanhu Bang, Hyuk-Jun Lee, Naehyuck Chang, Eui-Young Chung Slack-based Bus Arbitration Scheme for Soft Real-time Constrained Embedded Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Hideaki Kimura 0003, Mitsuhisa Sato, Yoshihiko Hotta, Taisuke Boku, Daisuke Takahashi Emprical study on Reducing Energy of Parallel Programs using Slack Reclamation by DVFS in a Power-scalable High Performance Cluster. Search on Bibsonomy CLUSTER The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Hyung-Ock Kim, Youngsoo Shin Power-aware slack distribution for hierarchical VLSI design. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Shiqiong Tong, Michael Kleinberg, Karen Nan Miu A distributed slack bus model and its impact on distribution system application techniques. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Daniel Andreasson, Shashi Kumar Slack-time aware routing in NoC systems. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Bita Gorjiara, Pai H. Chou, Nader Bagherzadeh, Mehrdad Reshadi, David W. Jensen Fast and efficient voltage scheduling by evolutionary slack distribution. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Haihua Yan, Adit D. Singh Reduce Yield Loss in Delay Defect Detection in Slack Interval. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Lucanus J. Simonson, King Ho Tam, Nataraj Akkiraju, Mosur Mohan, Lei He 0001 Leveraging Delay Slack in Flip-Flop and Buffer Insertion for Power Reduction. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Yao Li The Slack Sharing Server for Embedded Microcontrollers. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35David Talby, Dror G. Feitelson Supporting Priorities and Improving Utilization of the IBM SP Scheduler Using Slack-Based Backfilling. Search on Bibsonomy IPPS/SPDP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
35Anmol Mathur, Kuang-Chien Chen, C. L. Liu 0001 Applications of Slack Neighborhood Graphs to Timing Driven Optimization Problems in FPGAs. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
34Mehrzad Nejat, Madhavan Manivannan, Miquel Pericàs, Per Stenström Cooperative Slack Management: Saving Energy of Multicore Processors by Trading Performance Slack Between QoS-Constrained Applications. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
34Rickard Ewetz, Cheng-Kok Koh MCMM clock tree optimization based on slack redistribution using a reduced slack graph. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
34Chia-Chieh Lu, Rung-Bin Lin Slack budgeting and slack to length converting for multi-bit flip-flop merging. Search on Bibsonomy DATE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
34Da-Ren Chen Slack computation for DVS algorithms in fixed-priority real-time systems using fluid slack analysis. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
34Masanori Kurimoto, Hiroaki Suzuki, Rei Akiyama, Tadao Yamanaka, Haruyuki Ohkuma, Hidehiro Takata, Hirofumi Shinohara Phase-adjustable error detection flip-flops with 2-stage hold-driven optimization, slack-based grouping scheme and slack distribution control for dynamic voltage scaling. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
34Eduardo Bueno Campos, J. Alberto Aragon, Ma Paz Salmador Sánchez, Victor J. Garcia Tangible slack versus intangible resources: the influence of technology slack and tacit knowledge on the capability of organisational learning to generate innovation and performance. Search on Bibsonomy Int. J. Technol. Manag. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Razvan Racu, Arne Hamann, Rolf Ernst Sensitivity analysis of complex embedded real-time systems. Search on Bibsonomy Real Time Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF System properties, Distributed systems, Real-time, Robustness, System-on-chip, Compositional, Embedded, Sensitivity analysis, Scheduling analysis, Binary search, Slack, Performance verification
28Bita Gorjiara, Nader Bagherzadeh, Pai H. Chou Ultra-fast and efficient algorithm for energy optimization by gradient-based stochastic voltage and task scheduling. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF slack distribution, voltage and task scheduling, Power management
28Youlin Ruan, Gan Liu, Jianjun Han, Qinghua Li An Energy-Efficient Scheduling Algorithm for Real-Time Tasks. Search on Bibsonomy International Conference on Computational Science (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF maximal slack first, energy-efficient, energy consumption
28Marco Antonio Cruz-Chavez, Juan Frausto Solís A New Algorithm That Obtains an Approximation of the Critical Path in the Job Shop Scheduling Problem. Search on Bibsonomy MICAI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF schedule, metaheuristic, Critical path, neighborhood, slack time
28Kyu-won Choi, Abhijit Chatterjee UDSM (ultra-deep sub-micron)-aware post-layout power optimization for ultra low-power CMOS VLSI. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF device and interconnect co-optimization, nanometer design, time slack distribution, low-power design
28Tong Li 0003, Alvin R. Lebeck, Daniel J. Sorin Quantifying instruction criticality for shared memory multiprocessors. Search on Bibsonomy SPAA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF shared memory multiprocessors, slack, critical path analysis
28Philip Brisk, Adam Kaplan, Ryan Kastner, Majid Sarrafzadeh Instruction generation and regularity extraction for reconfigurable processors. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF control data-flow graph, template, slack, hardware compiler
28Yao Li, Paul Wilson PARTOS-11: an Efficient Real-Time Operating System for Low-Cost Microcontrollers. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF embedded microcontroller, slack sharing server, real-time operating system
28Daeyoung Kim 0001, Yann-Hang Lee DC2 scheduling for aperiodic tasks in strongly partitioned real-time systems. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF DC/sup 2/ scheduling, aperiodic task scheduling, strongly partitioned real time systems, SP-RTS, integrated real time applications, two-level hierarchical scheduling mechanism, multiple partitions, multiple periodic tasks, fixed priority algorithm, Distance Constraint guaranteed Dynamic Cyclic scheduler, distance-constrained cyclic schedule, distance constraint characteristics, partition cyclic schedule, slack time calculation, dynamic operations, hard aperiodic tasks, DC/sup 2/, soft aperiodic tasks, acceptance rate, scheduling, real-time systems, computational complexity, online scheduling, cyclic scheduling, spatial partitioning, partition scheduling, dynamic applications
28Sergio Sáez, Joan Vila i Carbó, Alfons Crespo Task attribute assignment of fixed priority scheduled tasks to reenact off-line schedules. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF aperiodic transactions, hard aperiodic tasks, earliest deadline first algorithm, deadline modifications, dynamically-calculated response times, dynamic slack stealing algorithm, minimal response times, release time constraints, hard aperiodic distributed processes, distributed systems, distributed processing, processor scheduling, release time, precedence relations, periodic tasks scheduling
Displaying result #1 - #100 of 1178 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license