The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase slew-rate (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1991-1997 (15) 1998-2001 (22) 2002-2003 (24) 2004-2005 (18) 2006 (19) 2007-2008 (23) 2009-2011 (18) 2012-2013 (17) 2014-2015 (20) 2016-2017 (16) 2018-2019 (19) 2020 (19) 2021-2022 (28) 2023 (11)
Publication types (Num. hits)
article(93) inproceedings(175) phdthesis(1)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 69 occurrences of 59 keywords

Results
Found 269 publication records. Showing 269 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
123Yuantao Peng, Xun Liu Low-power repeater insertion with both delay and slew rate constraints. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low power, interconnect, repeater insertion, slew rate
97Ram Suryanarayan, Anubhav Gupta, Travis N. Blalock A slew rate enhancement technique for operational amplifiers based on a tunable active Gm-based capacitance multiplication circuit. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF opamp, feedback, CMOS, compensation, operational amplifier, slew rate
88Kanak Agarwal, Dennis Sylvester, David T. Blaauw A simple metric for slew rate of RC circuits based on two circuit moments. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
83Andre Vilas Boas, Eduardo Ribeiro, Alfredo Olmos, Ricardo Maltione Self-adaptable slew rate control output buffer for embedded microcontroller port applications. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF I/O pad, slew rate control, self-adaptable, microcontroller, output buffer
78Bjorn Dahlberg Increasing Test Accuracy by Varying Driver Slew Rate. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
76Amlan Ghosh, Rahul M. Rao, Jae-Joon Kim, Ching-Te Chuang, Richard B. Brown On-Chip Process Variation Detection Using Slew-Rate Monitoring Circuit. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
66Hong-Yi Huang, Bo-Ruei Wang, Jen-Chieh Liu High-gain and high-bandwidth rail-to-rail operational amplifier with slew rate boost circuit. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
66Hoi Lee, Philip K. T. Mok A CMOS current-mirror amplifier with compact slew rate enhancement circuit for large capacitive load applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
63Shiyan Hu, Charles J. Alpert, Jiang Hu, Shrirang K. Karandikar, Zhuo Li 0001, Weiping Shi, Chin Ngai Sze Fast Algorithms for Slew-Constrained Minimum Cost Buffering. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
62Kanak Agarwal, Dennis Sylvester, David T. Blaauw Simple metrics for slew rate of RC circuits based on two circuit moments. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
55Jing Zhang A Low-Power and High Slew-rate CMOS Voltage Follower. Search on Bibsonomy MVHI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CSTN-LCD, voltage-follower, high slew-rate, low-power
52H. Dine, S. Chuang, Phillip E. Allen, Paul E. Hasler A rail to rail, slew-boosted pre-charge buffer. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
52Young-Ho Kwak, Inhwa Jung, Chulwoo Kim A slew-rate controlled output driver with one-cycle tuning time. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
52Jaime Ramírez-Angulo, Ramón González Carvajal, Antonio J. López-Martín High slew rate two stage A/AB and AB/AB op-amps with phase lead compensation at output node and local common mode feedback. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
52Amorn Jiraseree-amornkun, Apisak Worapishet, Eric A. M. Klumperink, Bram Nauta, Wanlop Surakampontorn Slew rate induced distortion in switched-resistor integrators. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
50Shiyan Hu, Charles J. Alpert, Jiang Hu, Shrirang K. Karandikar, Zhuo Li 0001, Weiping Shi, Cliff C. N. Sze Fast algorithms for slew constrained minimum cost buffering. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF slew constraint, physical design, buffer insertion
48Kyungho Ryu, Ji-Yong Jeong, Jung-Pil Lim, Kil-Hoon Lee, Kyongho Kim, Yongil Kwon, Seongjong Yoo, Siwoo Kim, Hyun-Wook Lim, Jae-Youl Lee A Source-Driver IC Including Power-Switching Fast-Slew-Rate Buffer and 8Gb/s Effective 3-Tap DFE Receiver Achieving 4.9mV DVRMS and 17V/ps Slew Rate for 8K Displays and Beyond. Search on Bibsonomy ISSCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
45José Vicente Calvano, Vladimir Castro Alves, Marcelo Lubaszewski Testing a PWM circuit using functional fault models and compact test vectors for operational amplifiers. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF PWM circuit, compact test vectors, analog VLSI technology, functional fault macromodels, compact test vector construction, open loop gain, CMMR, analog circuit simulation complexity, VLSI, integrated circuit testing, design for testability, automatic test pattern generation, fault modeling, fault simulation, fault simulation, operational amplifiers, operational amplifiers, test pattern, functional fault models, analogue circuits, fault dictionary, pulse width modulation, slew-rate
45Pradip Mandal, V. Visvanathan Design of high performance two stage CMOS cascode op-amps with stable biasing. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF two stage CMOS cascode op-amps, stable biasing, mirror biasing, output voltage, bias variations, low frequency common mode rejection ratios, power supply rejection ratios, systematic offset, circuit analysis computing, performance metrics, integrated circuit design, circuit simulations, operational amplifiers, CMOS analogue integrated circuits, slew rate, circuit stability
38Tongyu Song, Shouli Yan A Robust Rail-to-Rail Input Stage with Constant-gm and Constant Slew Rate Using a Novel Level Shifter. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Joongho Choi, Jinup Lim, Cheng-Chew Lim A low-voltage operational amplifier with high slew-rate for sigma-delta modulators. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Sushmita Baswa, Jaime Ramírez-Angulo, Antonio J. López-Martín, Ramón González Carvajal A novel family of low-voltage very low power super class AB OTAs with significantly enhanced slew rate and bandwidth. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Jaime Ramírez-Angulo, Ramón González Carvajal, Antonio Jesús Torralba Silgado, Carlos Nieva A new class AB differential input stage for implementation of low-voltage high slew rate op amps and linear transconductors. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
38Iyad Rayane, Jaime Velasco-Medina, Michael Nicolaidis A One-Bit-Signature BIST for Embedded Operational Amplifiers in Mixed-Signal Circuits Based on the Slew-Rate Detection. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
38Gustavo E. Téllez, Majid Sarrafzadeh Minimal buffer insertion in clock trees with skew and slew rate constraints. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
31Yu Cao 0001, Xiaodong Yang, Xuejue Huang, Dennis Sylvester Switch-Factor Based Loop RLC Modeling for Efficient Timing Analysis. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF RLC model, loop inductance, switch-factor, current return loop, data-bus and clock, static timing analysis, slew rate
29Dae Hyun Kwon, Minkyu Kim 0003, Sung-Geun Kim, Woo-Young Choi A 32-Gb/s PAM-4 Quarter-Rate Clock and Data Recovery Circuit With an Input Slew-Rate Tolerant Selective Transition Detector. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
29Tzung-Je Lee, Kai-Wei Ruan, Chua-Chin Wang 32% Slew rate and 27% data rate improved 2×VDD output buffer using PVTL compensation. Search on Bibsonomy ICICDT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
28Michael Lustig, Seung-Jean Kim, John M. Pauly A Fast Method for Designing Time-Optimal Gradient Waveforms for Arbitrary k-Space Trajectories. Search on Bibsonomy IEEE Trans. Medical Imaging The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Qingli Zhang, Jinxiang Wang 0001, Yizheng Ye Delay and Energy Efficient Design of On-Chip Encoded Bus with Repeaters. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Zushu Yan, Qiang Bian, Yuanfu Zhao Freqency Compensation for Multistage Amplifiers using Active-Feedback Current Buffers. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Salvatore Pennisi High-performance CMOS current feedback operational amplifier. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Kanak Agarwal, Dennis Sylvester, David T. Blaauw A library compatible driver output model for on-chip RLC transmission lines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Shanta Thoutam, Jaime Ramírez-Angulo, Antonio J. López-Martín, Ramón González Carvajal Power efficient fully differential low-voltage two stage class AB/AB op-amp architectures. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Kanak Agarwal, Dennis Sylvester, David T. Blaauw A library compatible driving point model for on-chip RLC interconnects. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Janet Meiling Wang, Jun Li 0066, Satish K. Yanamanamanda, Lakshmi Kalpana Vakati, Kishore Kumar Muchherla Modeling the Driver Load in the Presence of Process Variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Chirayu S. Amin, Chandramouli V. Kashyap, Noel Menezes, Kip Killpack, Eli Chiprout A multi-port current source model for multiple-input switching effects in CMOS library cells. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MCSM, cell library characterization, multiple input switching, timing analysis, current source model, cell model
26Nathan Kalyanasundharam, Nital Patwa Simultaneous Switching Noise Considerations in the Design of a High Speed, Multiported TLB of a Server-Class Microprocessor. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multiported, supply inductance, TLB, simultaneous switching noise, decoupling capacitance
24Shusuke Kawai, Takeshi Ueno, Hiroki Ishikuro, Kohei Onizuka An Active Slew Rate Control Gate Driver IC With Robust Discrete-Time Feedback Technique for 600-V Superjunction MOSFETs. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24Chua-Chin Wang, Lean Karlo S. Tolentino, Shao-Wei Lu, Oliver Lexter July A. Jose, Ralph Gerard B. Sangalang, Tzung-Je Lee, Pang-Yen Lou, Wei-Chih Chang A 2xVDD digital output buffer with gate driving stability and non-overlapping signaling control for slew-rate auto-adjustment using 16-nm FinFET CMOS process. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24Sougata Ghosh Low-Voltage Super Class-AB Bulk-Driven Single-Stage Subthreshold OTA with Very High DC Gain, Slew Rate, and High Driving Capability. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24Xiaoyan Gui, Renjie Tang, Kai Li, Kanan Wang, Dan Li 0011, Quan Pan 0002, Li Geng A CMOS slew-rate controlled output driver with low process, voltage and temperature variations using a dual-path signal-superposition technique. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24Bhawna Aggarwal, Vaishali Sharma A New Improved Current Splitter OTA with Higher Transconductance and Slew Rate. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24Francesco Gagliardi 0002, Alessandro Catania, Massimo Piotto, Paolo Bruschi, Michele Dei A Novel High-Performance Parallel-Type Slew-Rate Enhancer for LCD-Driving Applications. Search on Bibsonomy PRIME The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24V. H. Arzate Palma, F. Sandoval-Ibarra Slew-rate Comparison of single-ended amplifiers-the Folded Cascode and the Recycling Folded Cascode. Search on Bibsonomy CCE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24Seunghwa Shin, Gyeong-Gu Kang, Gyu-Wan Lim, Hyun-Sik Kim A Mobile OLED Source-Driver IC featuring Ultra-Compact 3-Stage-Cascaded 10-Bit DAC and 42V/μs-Slew-Rate True-DC-Interpolative Super-OTA Buffer. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24Yarallah Koolivand, Yasser Rezayean, Milad Zamani, Meysam Akbari, Omid Shoaei, Kea-Tiong Tang, Farshad Moradi A 69MHz-Bandwidth 40V/μs-Slew-rate 3nV/√Hz-Noises 4.5μV-Offset Chopper Operational Amplifier. Search on Bibsonomy CICC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24Wangchen Fan, Zhongyuan Fang, Yongjia Lil, Minggang Chen, Weifeng Sun A Transient-Enhanced Capacitor-Less LDO With 30-MHz Bandwidth and High Slew Rate. Search on Bibsonomy APCCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24Mihika Mahendra, Shweta Kumari, Maneesha Gupta Low voltage fully differential OTA using DTMOS based self cascode transistor with slew-rate enhancement and its filter application. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Cristian Raducan, Marius Neag Slew-Rate Booster and Frequency Compensation Circuit for Automotive LDOs. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Emad Ebrahimi, Amin Roozbakhsh, Mohammadreza Rasekhi A new slew rate enhancement technique for operational transconductance amplifiers. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Caffey Jindal, Rishikesh Pandey High Slew-Rate and Very-Low Output Resistance Class-AB Flipped Voltage Follower Cell for Low-Voltage Low-Power Analog Circuits. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Mehdi Moradian Boanloo, Mohammad Yavari A push-pull FVF based LDO voltage regulator with slew rate enhancement at the gate of power transistor. Search on Bibsonomy Microelectron. J. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Ionut-Constantin Guran, Adriana Florescu, Lucian Andrei Perisoara Optimized Slew Rate Control Technique for Automotive Low-Dropout Linear Voltage Regulators Simulation Models. Search on Bibsonomy ECAI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Karthik Debbadi, Yoann Pascal, Marco Liserre dv/dt filter design incorporating machine impedance and voltage slew rate for WBG-based electric drives. Search on Bibsonomy IECON The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Hyun-Ki Han, Min-Woo Ko, Jeong-Hyun Cho, Gyeong-Gu Kang, Seok-Tae Koh, Hong-Hyun Bae, Hyun-Sik Kim A Monolithic 48V-to-1V 10A Quadruple Step-Down DC-DC Converter with Hysteretic Copied On-Time 4-Phase Control and 2× Slew Rate All-Hysteretic Mode. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Tingxu Hu, Mo Huang, Yan Lu 0002, Rui Paulo Martins A 4A 12-to-1 Flying Capacitor Cross-Connected DC-DC Converter with Inserted D>0.5 Control Achieving >2x Transient Inductor Current Slew Rate and 0.73× Theoretical Minimum Output Undershoot of DSD. Search on Bibsonomy ISSCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Si Yuan Sim, Junmin Jiang, Cheng Huang 0004 A Half-Bridge GaN Driver with Real-Time Digital Calibration for VGS Ringing Regulation and Slew-Rate Optimization in 180nm BCD. Search on Bibsonomy ISCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Jinhen Lee, Jianming Zhao, Yuan Gao 0011 A Nanowatt Comparator with Feedforward Slew Rate Enhancement and PVT-Insensitive Bias for Always-on MEMS Switch Wake-up Sensor. Search on Bibsonomy ISCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Young-Ju Oh, Hyo-Jin Park 0002, Joo-Mi Cho, Hyeon-Ji Choi, Su-Min Park, Chan-Ho Lee, Esun Baik, Chan-Kyu Lee, Ho-Chan Ahn, Sung-Wan Hong A High Slew-rate Wide-range Capacitive Load Driving Buffer Amplifier with Correlated Dual Positive Feedback Loops. Search on Bibsonomy ISOCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Tianxian Wu, Yuting Zhang, Yanhan Zeng A 0.3-μA Quiescent Current Output Capacitor-Less LDO with Dynamic Slew Rate Enhance Buffer. Search on Bibsonomy APCCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Eric J. Wyers Accurate Geometric Programming-Compatible Slew Rate Modeling for Two-Stage Operational Amplifier Design Optimization. Search on Bibsonomy APCCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Yu-Yung Kao, Sheng-Hsi Hung, Hsuan-Yu Chen, Jia-Jyun Lee, Ke-Horng Chen, Ying-Hsi Lin, Shian-Ru Lin, Tsung-Yen Tsai Fully Integrated GaN-on-Silicon Gate Driver and GaN Switch With Temperature-Compensated Fast Turn-on Technique for Achieving Switching Frequency of 50 MHz and Slew Rate of 118.3 V/Ns. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Chua-Chin Wang, Pang-Yen Lou, Tsung-Yi Tsai, Yan-You Chou, Tzung-Je Lee 2˟VDD 500 MHz Digital Output Buffer with Optimal Driver Transistor Sizing for Slew Rate Self-adjustment and Leakage Reduction Using 28-nm CMOS Process. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Marino De Jesus Guzman, Nima Maghari Slew Rate in Self-Biased Ring Amplifiers. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Mihika Mahendra, Shweta Kumari, Maneesha Gupta, Ankur Sangal Low voltage high performance super class AB OTA design using SCCM and DTMOS with enhanced slew rate and DC gain. Search on Bibsonomy Microelectron. J. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Fang-Ming Yu, Kun-Cheng Lee, Ko-Wen Jwo, Rong-Seng Chang, Jun-Yi Lin Low Distortion of Noise Filter Realization with 6.34 V/μs Fast Slew Rate and 120 mVp-p Output Noise Signal. Search on Bibsonomy Sensors The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Manjunath Kareppagoudr, Emanuel Caceres, Gabor C. Temes Switched-Capacitor Integrator with Slew-Rate Enhancement and Low Distortion. Search on Bibsonomy MWSCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Ree Jin Joe, Dong Gyu Kim, Kang-Yoon Lee Increasing Slew rate of Charge pump by adopting Negative Feedback. Search on Bibsonomy ICEIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Ziyu Xia, Jason T. Stauth A Two-Stage Cascaded Hybrid Switched-Capacitor DC-DC Converter with 96.9% Peak Efficiency Tolerating 0.6V/μs Input Slew Rate During Startup. Search on Bibsonomy ISSCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Joo-Mi Cho, Hyo-Jin Park 0002, Sung-Wan Hong A 0.93-μW Single-Stage Rail-to-Rail Class AB Buffer Amplifier Improving DC gain and Slew-Rate with Different-Ratio Current-Mirrors and Positive-Feedback Loops. Search on Bibsonomy VLSI Circuits The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Gyeong-Gu Kang, Seok-Tae Koh, Woojin Jang, Jiho Lee, Seongjoo Lee, Ohjo Kwon, Keumdong Jung, Hyun-Sik Kim A 12-Bit Mobile OLED/μLED Display Driver IC with Cascaded Loading-Free Capacitive Interpolation DAC and 6.24V/μs-Slew-Rate Buffer Amplifier. Search on Bibsonomy VLSI Circuits The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Weifu Chen, Mingyi Chen, Yuzhi Hao, Liang Qi, Jian Zhao 0004 A 1-μA-Quiescent-Current Capacitor-Less LDO Regulator with Adaptive Embedded Slew-Rate Enhancement Circuit. Search on Bibsonomy ISCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Gianluca Giustolisi, Gaetano Palumbo Design of Three-Stage OTAs from Settling-Time and Slew-Rate Constraints. Search on Bibsonomy ISCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Gargi Nandi, Sameer Yadav, P. N. Kondekar A Fast Settling, High Slew Rate CMOS Recycling Folded Cascode Operational Transconductance Amplifier (OTA) for High Speed Applications. Search on Bibsonomy ICCCNT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Tzung-Je Lee, Wen-Jian Su, Lean Karlo S. Tolentino, Chua-Chin Wang A 2.5-GHz 2×VDD 16-nm FinFET Digital Output Buffer with Slew Rate and Duty Cycle Self-Adjustment. Search on Bibsonomy APCCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Kan Li, Chuanshi Yang, Ting Guo, Yuanjin Zheng A Multi-Loop Slew-Rate-Enhanced NMOS LDO Handling 1-A-Load-Current Step With Fast Transient for 5G Applications. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Jaedo Kim, Seokjae Song, Jeongjin Roh A High Slew-Rate Enhancement Class-AB Operational Transconductance Amplifier (OTA) for Switched-Capacitor (SC) Applications. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Deng-Fong Lu, Chin Hsia, Kun-Chu Lee An Integrated Wideband Operational Transconductance Amplifier with Complementary Slew-Rate Enhancer. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2020 DBLP  BibTeX  RDF
24Manikandan Pappiah, Bindu Boby Capacitor-less FVF low drop-out regulator with active feed-forward compensation and efficient slew-rate enhancer circuit. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Cheuk Ho Hung, Yanqi Zheng, Jianping Guo, Ka Nang Leung Bandwidth and Slew Rate Enhanced OTA With Sustainable Dynamic Bias. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Luis Miguel Carvalho Freitas, Fernando Morgado Dias A CMOS slew-rate enhanced OTA for imaging. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Chua-Chin Wang, Zong-You Hou, Yu-Lin Deng, U. Fat Chio, Wei Wang 2-GHz 2×VDD 28-nm CMOS Digital Output Buffer with Slew Rate Auto-Adjustment Against Process and Voltage Variations. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Caffey Jindal, Rishikesh Pandey A class-AB flipped voltage follower cell with high symmetrical slew rate and high current sourcing/sinking capability. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Ahmed Gharib Gadel-Karim, Ahmed N. Mohieldin, Faisal Hussien, Mohamed M. Aboudina Linearity-Enhanced Ring Amplifier Using Adaptive Slew-Rate Feed-Forward Path. Search on Bibsonomy IEEE Trans. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Jian-An Wang, Yuan-Yao Zhao, Zhengping Zhang A 90-nm 640 MHz 2 × VDD Output Buffer With 41.5% Slew Rate Improvement Using PVT Compensation. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Tzung-Je Lee, Ssu-Wei Huang, Chua-Chin Wang A Slew Rate Enhanced 2 x VDD I/O Buffer With Precharge Timing Technique. Search on Bibsonomy IEEE Trans. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Sizhen Li, Kai Yu 0008, Li-xiang Ou, Pan Zhou, Gary Zhang A compact hybrid envelope tracking supply modulator with wide-band high-slew-rate linear amplifier. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Alec Yen, Benjamin J. Blalock A High Slew Rate, Low Power, Compact Operational Amplifier Based on the Super-Class AB Recycling Folded Cascode. Search on Bibsonomy MWSCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Ola Ibrahim, Rana Hesham, Ahmed Soltan Controllable OTA Slew-rate for CMOS Image Sensor. Search on Bibsonomy ICM The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24R. Ghosh, Paolo Seri, Gian Carlo Montanari Measuring PD under Fast Slew Rate, High Voltage and High Frequency Repetitive Voltage Impulses. Search on Bibsonomy ICIIS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Kun Cai, Gang Zhang High-Gain PFD/Charge Pump with Gain Proportional to Slew Rate of Up/Down Signals. Search on Bibsonomy ICTA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Timo Mai, Robert Weigel A 5V, 20MHz Bandwidth, fully differential Operational Amplifier with 70 V/μs Slew Rate and 205 ns/30 ns enable/disable time in 180 nm CMOS. Search on Bibsonomy ISIE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Yong Zhou, Yanqi Zheng, Ka Nang Leung An Output-Capacitorless Low-Dropout Regulator with High Slew Rate and Unity-Gain Bandwidth. Search on Bibsonomy ISCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Kan Li, Chuanshi Yang, Ting Guo, Yuanjin Zheng A Multi-Loop Slew-Rate Enhanced NMOS LDO Handling 1A Load Current Step with Fast Transient. Search on Bibsonomy ISCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Parisa Mahmoudidaryan, Debashis Mandal, Bertan Bakkaloglu, Sayfe Kiaei Wideband Hybrid Envelope Tracking Modulator With Hysteretic-Controlled Three-Level Switching Converter and Slew-Rate Enhanced Linear Amplifier. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
24Po-Yu Kuo, Sheng-Da Tsai An Enhanced Scheme of Multi-Stage Amplifier With High-Speed High-Gain Blocks and Recycling Frequency Cascode Circuitry to Improve Gain-Bandwidth and Slew Rate. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
24Anindita Paul, Jaime Ramírez-Angulo, Antonio Torralba 0002 Analysis, Comparison, and Experimental Validation of a Class AB Voltage Follower With Enhanced Bandwidth and Slew Rate. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
24Fatemeh Abdi, Mahnaz Janipoor Deylamani, Parviz Amiri, Mohammad Hossein Refan Slew Rate and Transient Response Enhancement in MOLDO with Modifying Error Amplifier Structure. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
24M. Pilar Garde, Antonio Lopez-Martin, José María Algueta-Miguel, Ramón González Carvajal, Jaime Ramírez-Angulo Class AB amplifier with enhanced slew rate and GBW. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 269 (100 per page; Change: )
Pages: [1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license