The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase variable-voltage (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1995-2000 (18) 2001-2003 (25) 2004-2005 (15) 2006-2007 (15) 2008-2015 (16) 2016-2023 (11)
Publication types (Num. hits)
article(42) inproceedings(58)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 81 occurrences of 45 keywords

Results
Found 100 publication records. Showing 100 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
57Woo-Cheol Kwon, Taewhan Kim Optimal voltage allocation techniques for dynamically variable voltage processors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scheduling, low power design, Dynamic voltage scaling, variable voltage processor
55Jiong Luo, Niraj K. Jha, Li-Shiuan Peh Simultaneous Dynamic Voltage Scaling of Processors and Communication Links in Real-Time Distributed Embedded Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
54Woo-Cheol Kwon, Taewhan Kim Optimal voltage allocation techniques for dynamically variable voltage processors. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scheduling, low power design, variable voltage processor
49Tohru Ishihara, Hiroto Yasuura Voltage scheduling problem for dynamically variable voltage processors. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
47Flavius Gruian System-Level Design Methods for Low-Energy Architectures Containing Variable Voltage Processors. Search on Bibsonomy PACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF system-level design, low energy, variable voltage processors
47Yann-Rue Lin, Cheng-Tsung Hwang, Allen C.-H. Wu Scheduling techniques for variable voltage low power designs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF lower power design, variable voltage, scheduling, high-level synthesis
41Jiong Luo, Niraj K. Jha Static and Dynamic Variable Voltage Scheduling Algorithms for Real-Time Heterogeneous Distributed Embedded Systems. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF scheduling, real-time systems, embedded systems, low-power
38Jiong Luo, Niraj K. Jha Power-Efficient Scheduling for Heterogeneous Distributed Real-Time Embedded Systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Inki Hong, Darko Kirovski, Gang Qu 0001, Miodrag Potkonjak, Mani B. Srivastava Power optimization of variable-voltage core-based systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
36Gang Quan, Xiaobo Sharon Hu Minimal energy fixed-priority scheduling for variable voltage processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Gang Quan, Xiaobo Hu 0001 Minimum Energy Fixed-Priority Scheduling for Variable Voltage Processor. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Takanori Okuma, Tohru Ishihara, Hiroto Yasuura Real-Time Task Scheduling for a Variable Voltage Processor. Search on Bibsonomy ISSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
35Jaewon Seo, Taewhan Kim, Joonwon Lee Optimal intratask dynamic voltage-scaling technique and its practical extensions. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Inki Hong, Darko Kirovski, Gang Qu 0001, Miodrag Potkonjak, Mani B. Srivastava Power Optimization of Variable Voltage Core-Based Systems. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF reconstruction, emulation, visibility, functional simulation
32Jiong Luo, Niraj K. Jha Power-profile Driven Variable Voltage Sealing for Heterogeneous Distributed Real-time Embedded Systems. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Hakan Aydin, Qi Yang Energy-Aware Partitioning for Multiprocessor Real-Time Systems. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Variable voltage scheduling, Power-aware real-time systems, Load balancing, Dynamic voltage scaling, Real-time scheduling, Multiprocessor scheduling, Partitioned scheduling
31Felipe Rodríguez Valdés, Julio Avila, Raymundo A. Caballero Pimentel, David Castro Baltasar Breakdown Voltage Behavior Under High Voltage and Frequency Signals on Isolating Solid Materials. Search on Bibsonomy CONIELECOMP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Abhishek Das, Serkan Ozdemir, Gokhan Memik, Alok N. Choudhary Evaluating voltage islands in CMPs under process variations. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Dongsheng Ma Automatic substrate switching circuit for on-chip adaptive power supply system. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Dakai Zhu 0001, Rami G. Melhem, Bruce R. Childers Scheduling with Dynamic Voltage/Speed Adjustment Using Slack Reclamation in Multiprocessor Real-Time Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF slack sharing, scheduling, Real-time systems, multiprocessor
28Bren Mochocki, Xiaobo Sharon Hu, Gang Quan A realistic variable voltage scheduling model for real-time applications. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Minming Li, Becky Jie Liu, Frances F. Yao Min-energy voltage allocation for tree-structured tasks. Search on Bibsonomy J. Comb. Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Scheduling, Energy efficiency, Variable voltage processor
26Jiong Luo, Li-Shiuan Peh, Niraj K. Jha Simultaneous Dynamic Voltage Scaling of Processors and Communication Links in Real-Time Distributed Embedded Systems. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Jaewon Seo, Taewhan Kim, Ki-Seok Chung Profile-based optimal intra-task voltage scheduling for hard real-time applications. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF intra-task voltage scheduling, DVS, low energy design
24Johan A. Pouwelse, Koen Langendoen, Henk J. Sips Application-directed voltage scaling. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Yumin Zhang, Xiaobo Hu 0001, Danny Z. Chen Task scheduling and voltage selection for energy minimization. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF voltage selection, task scheduling
24Yufeng Xie, Leibo Liu, Rui Dai, Shaojun Wei Battery-Aware Variable Voltage Scheduling on Real-Time Multiprocessor Platforms. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Ali Manzak, Chaitali Chakrabarti Variable voltage task scheduling algorithms for minimizing energy/power. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Gang Quan, Xiaobo Sharon Hu Energy efficient DVS schedule for fixed-priority real-time systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF real time, low power, Dynamic voltage scaling, fixed-priority scheduling
23Diana Marculescu Application adaptive energy efficient clustered architectures. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF dynamic voltage scaling, clustered architectures
20Pedro Mejía-Alvarez, Eugene Levner, Daniel Mossé Adaptive scheduling server for power-aware real-time tasks. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF variable voltage scheduling, Heuristics, real-time scheduling
20Han-Saem Yun, Jihong Kim 0001 On energy-optimal voltage scheduling for fixed-priority hard real-time systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF real-time systems, approximation algorithms, dynamic voltage scaling, Fixed-priority scheduling, fully polynomial time approximation scheme, variable voltage processor
20Sandeep Dhar, Dragan Maksimovic, Bruno Kranzen Closed-loop adaptive voltage scaling controller for standard-cell ASICs. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF delay-line, variable-voltage, low-power, energy-efficient, design methodology, circuit design, standard-cell, DC-DC converter
20Thomas D. Burd, Robert W. Brodersen Design issues for dynamic voltage scaling. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF variable voltage, energy efficient, processor, circuit design
20Vadim Gutnik, Anantha P. Chandrakasan Embedded power supply for low-power DSP. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Martino Ruggiero, Davide Bertozzi, Luca Benini, Michela Milano, Alexandru Andrei Reducing the Abstraction and Optimality Gaps in the Allocation and Scheduling for Variable Voltage/Frequency MPSoC Platforms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Yousef Niazi, Amirhossein Rajaei, Vahid Moradzadeh Tehrani, Mokhtar Shasadeghi, Saleh Mobayen, Pawel Skruch A Switched-Capacitor Multi-Level Inverter With Variable Voltage Gain Based on Current-Fed Dickson Voltage Multiplier. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18N. Rajasekar, K. Mohana Sundaram 0001 Feedback controller design for variable voltage variable speed induction motor drive via Ant Colony Optimization. Search on Bibsonomy Appl. Soft Comput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Sheayun Lee, Insik Shin, Woonseok Kim, Insup Lee 0001, Sang Lyul Min A design framework for real-time embedded systems with code size and energy constraints. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scheduling, real-time, Embedded, energy, code size
15Da-Ren Chen, Shu-Ming Hsieh, Ming-Fong Lai Efficient Algorithms for Jitterless Real-Time Tasks to DVS Schedules. Search on Bibsonomy PDCAT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Vijay Raghunathan, Cristiano Pereira, Mani B. Srivastava, Rajesh K. Gupta 0001 Energy-aware wireless systems with adaptive power-fidelity tradeoffs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Gang Qu 0001, Miodrag Potkonjak Techniques for energy-efficient communication pipeline design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Gang Qu 0001, Miodrag Potkonjak Power minimization using system-level partitioning of applications with quality of service requirements. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Gang Qu 0001, Darko Kirovski, Miodrag Potkonjak, Mani B. Srivastava Energy minimization of system pipelines using multiple voltages. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Alice Wang, Wendi B. Heinzelman, Amit Sinha, Anantha P. Chandrakasan Energy-Scalable Protocols for Battery-Operated MicroSensor Networks. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF low power, sensors, data fusion, network protocols, power aware, beamforming
12Zhangyong Chen, Xuan Tang, Yunfeng Wu, Tianyu Xiang, Zhanchao Zheng Real time online efficiency optimization of buck converter against variable voltage and load change. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Jianwei Li, Fang Yao, Qingqing Yang, Zhongbao Wei, Hongwen He Variable Voltage Control of a Hybrid Energy Storage System for Firm Frequency Response in the U.K. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Farid Mohammadi, Hasan Rastegar, Mohammad Pichan Circuit design and implementation of fault-tolerant step-up converter with variable voltage gain. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Andre Dos Santos Lima, Aderaldo Ricarte Guedes, Edilson Mineiro Sá, Fernando Luiz Marcelo Antunes Case Study: Variable-Voltage DC Bus With Energy Recovery System for Industrial Plants. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Jun-Cheng Wang, Ren He, Young-Bae Kim Optimal Anti-Lock Braking Control With Nonlinear Variable Voltage Charging Scheme for an Electric Vehicle. Search on Bibsonomy IEEE Trans. Veh. Technol. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Ibrahim Ahmed 0001, Linda L. Shen, Vaughn Betz Optimizing FPGA Logic Circuitry for Variable Voltage Supplies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Seang Shen Yeoh, Mohamed Rashed, Mike Sanders, Serhiy Bozhko Variable-Voltage Bus Concept for Aircraft Electrical Power System. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Assim Hameed, Yousif Al-Daraje Establishing a Low and Variable Voltage Power Supply System with Digital Control. Search on Bibsonomy SSD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Yaohua Li, Yafei Qu, Haohao Shi, Xiangzhen Meng, Sen Jiao An adaptive variable voltage vector switching table in PMSM DTC system. Search on Bibsonomy IECON The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12An-Tai Xiao, Yung-Siang Miao, Ching-Hwa Cheng, Jiun-In Guo A variable-voltage low-power technique for digital circuit system. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Jitendra Solanki, Norbert Fröhleke, Joachim Böcker Implementation of Hybrid Filter for 12-Pulse Thyristor Rectifier Supplying High-Current Variable-Voltage DC Load. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Emma Tegling, Dennice F. Gayme, Henrik Sandberg Performance metrics for droop-controlled microgrids with variable voltage dynamics. Search on Bibsonomy CDC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Kuan-Sheng Ho, Kao-Chih Wu, Ying-Yu Tzou Digital control of a bridgeless SEPIC PFC AC-DC converter with variable voltage output. Search on Bibsonomy IECON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Chaitanya Belwal, Albert M. K. Cheng, Jim Ras, Yuanfeng Wen Variable voltage scheduling with the priority-based functional reactive programming language. Search on Bibsonomy RACS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Minming Li Approximation algorithms for variable voltage processors: Min energy, max throughput and online heuristics. Search on Bibsonomy Theor. Comput. Sci. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Mohammad Reza Asgari, Omid Hashemipour Body effect compensation of analog switches using variable voltage function. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Nan Li 0020, Hui Xu 0010, Wei Wang, Weida Zhang High-speed digital-controlled variable voltage source with current monitor for EIT application. Search on Bibsonomy BMEI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Gang Quan, Linwei Niu, Bren Mochocki, Xiaobo Sharon Hu Fixed-priority scheduling to reduce both the dynamic and leakage energy on variable voltage processors. Search on Bibsonomy Int. J. Embed. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Minming Li Approximation Algorithms for Variable Voltage Processors: Min Energy, Max Throughput and Online Heuristics. Search on Bibsonomy ISAAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12XiaoChuan He, Yan Jia 0001 Energy-Efficient Scheduling Fixed-Priority Tasks with Preemption Thresholds on Variable Voltage Processors. Search on Bibsonomy NPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Minming Li, Andrew C. Yao, Frances F. Yao Discrete and continuous min-energy schedules for variable voltage processors. Search on Bibsonomy Proc. Natl. Acad. Sci. USA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Bren Mochocki, Xiaobo Sharon Hu, Gang Quan A unified approach to variable voltage scheduling for nonideal DVS processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Gang Quan, Linwei Niu, Xiaobo Sharon Hu, Bren Mochocki Fixed Priority Scheduling for Reducing Overall Energy on Variable Voltage Processors. Search on Bibsonomy RTSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Yumin Zhang, Xiaobo Sharon Hu, Danny Z. Chen Energy minimization of real-time tasks on variable voltage processors with transition energy overhead. Search on Bibsonomy ASP-DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Hyugjin Kwon, Jihong Kim 0001 A low-power image convolution algorithm for variable voltage processors. Search on Bibsonomy ICASSP (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Takanori Okuma, Hiroto Yasuura, Tohru Ishihara Software Energy Reduction Techniques for Variable-Voltage Processors. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Dongsheng Ma, Wing-Hung Ki, Chi-Ying Tsui, Philip K. T. Mok A single-inductor dual-output integrated DC/DC boost converter for variable voltage scheduling. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Johan A. Pouwelse, Koen Langendoen, Henk J. Sips Energy priority scheduling for variable voltage processors. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Ali Manzak, Chaitali Chakrabarti Variable voltage task scheduling algorithms for minimizing energy. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Gang Quan, Xiaobo Hu 0001 Energy Efficient Fixed-Priority Scheduling for Real-Time Systems on Variable Voltage Processors. Search on Bibsonomy DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Ali Manzak, Chaitali Chakrabarti Variable voltage task scheduling for minimizing energy or minimizing power. Search on Bibsonomy ICASSP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Mohammad M. Mansour, Makram M. Mansour, Ibrahim N. Hajj, Naresh R. Shanbhag Instruction scheduling for low power on dynamically variable voltage processors. Search on Bibsonomy ICECS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Young Ahn Kwon Computation of optimal excitation of a switched reluctance motor using variable voltage. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12James Goodman 0001, Abram P. Dancy, Anantha P. Chandrakasan An energy/security scalable encryption processor using an embedded variable voltage DC/DC converter. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Inki Hong, Miodrag Potkonjak, Mani B. Srivastava On-line scheduling of hard real-time tasks on variable voltage processor. Search on Bibsonomy ICCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Inki Hong, Gang Qu 0001, Miodrag Potkonjak, Mani B. Srivastava Synthesis Techniques for Low-Power Hard Real-Time Systems on Variable Voltage Processors. Search on Bibsonomy RTSS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Salil Raje, Majid Sarrafzadeh Variable voltage scheduling. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
11Da-Ren Chen, Shu-Ming Hsieh, Ming-Fong Lai Efficient algorithms for periodic real-time tasks to optimal discrete voltage schedules. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Luca Benini, Davide Bertozzi, Alessio Guerri, Michela Milano Allocation, Scheduling and Voltage Scaling on Energy Aware MPSoCs. Search on Bibsonomy CPAIOR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Minming Li, Frances F. Yao An Efficient Algorithm for Computing Optimal Discrete Voltage Schedules. Search on Bibsonomy MFCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Alberto Soria-López, Pedro Mejía-Alvarez, Julio Cornejo Feedback Scheduling of Power-Aware Soft Real-Time Tasks. Search on Bibsonomy ENC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Woonseok Kim, Jihong Kim 0001, Sang Lyul Min Preemption-aware dynamic voltage scaling in hard real-time systems. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF real-time systems, dynamic voltage scaling, low-power systems
11Gang Qu 0001, Miodrag Potkonjak Energy minimization with guaranteed quality of service. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Luca Benini Advanced power management of SoC platforms. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
7Linwei Niu Energy-aware dual-mode voltage scaling for weakly hard real-time systems. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dual priority scheduling, dual-mode voltage scaling, QoS
7Keqin Li 0001 Performance Analysis of Power-Aware Task Scheduling Algorithms on Multiprocessor Computers with Dynamic Voltage and Speed. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
7Bruno Gaujal, Nicolas Navet Dynamic voltage scaling under EDF revisited. Search on Bibsonomy Real Time Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Scheduling, Real-time systems, Complexity, Low-power design, Dynamic voltage scaling
7Chanmin Park, Hyunhee Kim, Jihong Kim 0001 A Low-Power Implementation of 3D Graphics System for Embedded Mobile Systems. Search on Bibsonomy ESTIMedia The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
7Hio Leong Chao, Dongsheng Ma CMOS variable-gain wide-bandwidth CMFB-free differential current feedback amplifier for ultrasound diagnostic applications. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
7Mihai Oltean Switchable Glass: A Possible Medium for Evolvable Hardware. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
7Bruno Gaujal, Nicolas Navet, Cormac Walsh Shortest-path algorithms for real-time scheduling of FIFO tasks with minimal energy use. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scheduling, Real-time systems, low-power design, voltage scaling
7Haihua Yan, Adit D. Singh A Delay Test to Differentiate Resistive Interconnect Faults from Weak Transistor Defects. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
7Minming Li, Becky Jie Liu, Frances F. Yao Min-Energy Voltage Allocation for Tree-Structured Tasks. Search on Bibsonomy COCOON The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
7Andrea Acquaviva, Luca Benini, Bruno Riccò Energy characterization of embedded real-time operating systems. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
7Dakai Zhu 0001, Rami G. Melhem, Bruce R. Childers Scheduling with Dynamic Voltage/Speed Adjustment Using Slack Reclamation in Multi-Processor Real-Time Systems. Search on Bibsonomy RTSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 100 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license