The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase voltage-island (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2002-2006 (15) 2007-2008 (17) 2009-2011 (17) 2012-2015 (15) 2016-2023 (6)
Publication types (Num. hits)
article(20) incollection(1) inproceedings(49)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 35 occurrences of 23 keywords

Results
Found 70 publication records. Showing 70 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
121Royce L. S. Ching, Evangeline F. Y. Young, Kevin C. K. Leung, Chris C. N. Chu Post-placement voltage island generation. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF tree, floorplanning, voltage island
104Bruce Tseng, Hung-Ming Chen Blockage and voltage island-aware dual-vdd buffered tree construction under fixed buffer locations. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF voltage island architecture, low power, buffer insertion
103Dipanjan Sengupta, Resve A. Saleh Application-driven floorplan-aware voltage island design. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dynamic programming, energy, floorplan, voltage island
92Jingcao Hu, Youngsoo Shin, Nagu R. Dhanwada, Radu Marculescu Architecting voltage islands in core-based system-on-a-chip designs. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multiple VDD, low-power, floorplanning, system-on-a-chip, voltage island
91Qiang Ma 0002, Evangeline F. Y. Young Voltage island-driven floorplanning. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
67Dipanjan Sengupta, Resve A. Saleh Supply voltage selection in Voltage Island based SoC design. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
67Yuchun Ma, Xiang Qiu, Xiangqing He, Xianlong Hong Incremental power optimization for multiple supply voltage design. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
65Wan-Ping Lee, Hung-Yi Liu, Yao-Wen Chang An ILP algorithm for post-floorplanning voltage-island generation considering power-network planning. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
63Huaizhi Wu, Martin D. F. Wong Incremental Improvement of Voltage Assignment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
63Huaizhi Wu, Martin D. F. Wong Improving Voltage Assignment by Outlier Detection and Incremental Placement. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
59Dipanjan Sengupta, Resve A. Saleh Application-Driven Voltage-Island Partitioning for Low-Power System-on-Chip Design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
56Huaizhi Wu, Martin D. F. Wong, I-Min Liu Timing-constrained and voltage-island-aware voltage assignment. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF voltage assignment, low power, timing, voronoi diagram
55Yici Cai, Bin Liu 0007, Jin Shi, Qiang Zhou 0001, Xianlong Hong Power Delivery Aware Floorplanning for Voltage Island Designs. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
53Huaizhi Wu, Martin D. F. Wong, I-Min Liu, Yusu Wang Placement-Proximity-Based Voltage Island Grouping Under Performance Requirement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
49Huaizhi Wu, Martin D. F. Wong, Wilsin Gosti Postplacement voltage assignment under performance constraints. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF voltage assignment, Low power, timing, Voronoi diagram
48Bei Yu 0001, Sheqin Dong, Satoshi Goto, Song Chen 0001 Voltage-island driven floorplanning considering level-shifter positions. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF convex network flow, level shifter assignment, voltage assignment, white space redistribution, voltage-island
45Liangpeng Guo, Yici Cai, Qiang Zhou 0001, Xianlong Hong Logic and Layout Aware Voltage Island Generation for Low Power Design. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
45Lap-Fai Leung, Chi-Ying Tsui Energy-Aware Synthesis of Networks-on-Chip Implemented with Voltage Islands. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Wai-Kei Mak, Jr-Wei Chen Voltage Island Generation under Performance Requirement for SoC Designs. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Juan Antonio Carballo, Jeffrey L. Burns, Seung-Moon Yoo, Ivan Vo, V. Robert Norman A semi-custom voltage-island technique and its application to high-speed serial links. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF island, voltage, communications, low power, links, serial
36Wan-Yu Lee, Iris Hui-Ru Jiang VIFI-CMP: variability-tolerant chip-multiprocessors for throughput and power. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF chip-multiprocessor, process variation, monte carlo analysis
36Wei-Lun Hung, Greg M. Link, Yuan Xie 0001, Narayanan Vijaykrishnan, Nagu R. Dhanwada, John Conner Temperature-Aware Voltage Islands Architecting in System-on-Chip Design. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Gang Qu 0001 Power Management of Multicore Multiple Voltage Embedded Systems by Task Scheduling. Search on Bibsonomy ICPP Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Abhishek Das, Serkan Ozdemir, Gokhan Memik, Alok N. Choudhary Evaluating voltage islands in CMPs under process variations. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Wan-Ping Lee, Diana Marculescu, Yao-Wen Chang Post-floorplanning power/ground ring synthesis for multiple-supply-voltage designs. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multiple-supply voltage designs, physical design, floorplanning, vlsi
28Deming Chen, Jason Cong, Yiping Fan, Junjuan Xu Optimality study of resource binding with multi-Vdds. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low power design, behavioral synthesis, resource binding
26Rajarshi Mukherjee, Seda Ogrenci Memik Evaluation of dual VDD fabrics for low power FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26David E. Lackey, Paul S. Zuchowski, Thomas R. Bednar, Douglas W. Stout, Scott W. Gould, John M. Cohn Managing power and performance for System-on-Chip designs using Voltage Islands. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Sohaib Majzoub, Resve A. Saleh, Steven J. E. Wilton, Rabab K. Ward Energy Optimization for Many-Core Platforms: Communication and PVT Aware Voltage-Island Formation and Voltage Selection Algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
25Bin Liu 0007, Yici Cai, Qiang Zhou 0001, Xianlong Hong Power driven placement with layout aware supply voltage assignment for voltage island generation in Dual-Vdd designs. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Shengqi Yang, Wayne H. Wolf, Narayanan Vijaykrishnan, Yuan Xie 0001 Reliability-Aware SOC Voltage Islands Partition and Floorplan. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Yici Cai, Bin Liu 0007, Qiang Zhou 0001, Xianlong Hong A Thermal Aware Floorplanning Algorithm Supporting Voltage Islands for Low Power SOC Design. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Koushik Niyogi, Diana Marculescu Speed and voltage selection for GALS systems based on voltage/frequency islands. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Iwo Bekker, Lutz Hofmann Communication-free control concept for operating a low voltage island grid. Search on Bibsonomy ISGT Asia The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Shimin Du, Yang Runping, Yuejun Zhang, Yu Shenglu A stable voltage island-driven floorplanning with fixed-outline constraint for low power SoC. Search on Bibsonomy Microelectron. J. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Nicolas Melot, Christoph W. Kessler, Jörg Keller 0001 Voltage Island-Aware Energy-Efficient Scheduling of Parallel Streaming Tasks on Many-Core CPUs. Search on Bibsonomy PDP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Georgios Zervakis 0001, Sotirios Xydis, Dimitrios Soudris, Kiamal Z. Pekmestzi Multi-Level Approximate Accelerator Synthesis Under Voltage Island Constraints. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Krashna Nand Mishra, Ruchin Jain, Shailendra Sharad, Ravindra Shrivastava Allowing Switching off Periphery Voltage Island Instead of Doing it per Instance Through Periphery VDD Collapse in SRAMs. Search on Bibsonomy VLSID The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Ioannis S. Stamelakos, Sotirios Xydis, Gianluca Palermo, Cristina Silvano Variability-Aware Voltage Island Management for Near-Threshold Computing with Performance Guarantees. Search on Bibsonomy Near Threshold Computing The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Aminollah Mahabadi, Ahmad Khonsari, Behnam Khodabandeloo, Hamid Noori, Alireza Majidi Critical path-aware voltage island partitioning and floorplanning for hard real-time embedded systems. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Ayhan Demiriz, Nader Bagherzadeh, Özcan Özturk 0001 Voltage island based heterogeneous NoC design through constraint programming. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Jai-Ming Lin, Ji-Heng Wu F-FM: Fixed-Outline Floorplanning Methodology for Mixed-Size Modules Considering Voltage-Island Constraint. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Zhufei Chu, Yinshui Xia, Lun-Yao Wang, Jian Wang Efficient nonrectangular shaped voltage island aware floorplanning with nonrandomized searching engine. Search on Bibsonomy Microelectron. J. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Cristina Silvano, Gianluca Palermo, Sotirios Xydis, Ioannis S. Stamelakos Voltage island management in near threshold manycore architectures to mitigate dark silicon. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Ioannis S. Stamelakos, Sotirios Xydis, Gianluca Palermo, Cristina Silvano Variation-aware voltage island formation for power efficient near-threshold manycore architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Jun Liu, Jinhua Guo Voltage Island Aware Energy Efficient Scheduling of Real-Time Tasks on Multi-core Processors. Search on Bibsonomy HPCC/CSS/ICESS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Ning Xu 0006, Yuchun Ma, Jia Liu 0025, Shou-Chun Tao Thermal-Aware Post Layout Voltage-Island Generation for 3D ICs. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Rong Ye, Feng Yuan, Zelong Sun, Wen-Ben Jone, Qiang Xu 0001 Post-placement voltage island generation for timing-speculative circuits. Search on Bibsonomy DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Dipanjan Sengupta, Andreas G. Veneris, Steven J. E. Wilton, André Ivanov Multi-objective voltage island floorplanning using sequence pair representation. Search on Bibsonomy Sustain. Comput. Informatics Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Mario K. Y. Leung, Eric K. I. Chio, Evangeline F. Y. Young Postplacement Voltage Island Generation. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Jai-Ming Lin, Wei-Yi Cheng, Chung-Lin Lee, Richard C. Hsu Voltage island-driven floorplanning considering level shifter placement. Search on Bibsonomy ASP-DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Song Chen 0001, Xiaolin Zhang, Takeshi Yoshimura Practically scalable floorplanning with voltage island generation. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Aiguo Shen, Fangwei Li DRX mechanism with hierarchical multi-level voltage island partion. Search on Bibsonomy FSKD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Kan Wang, Sheqin Dong, Satoshi Goto Voltage island-driven power optimization for application specific network-on-chip design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Huan Ren, Shantanu Dutt Effective Power Optimization Under Timing and Voltage-Island Constraints Via Simultaneous Vdd, Vth Assignments, Gate Sizing, and Placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Dipanjan Sengupta, Andreas G. Veneris, Steven J. E. Wilton, André Ivanov, Res Saleh Sequence pair based voltage island floorplanning. Search on Bibsonomy IGCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Nishit Ashok Kapadia, Sudeep Pasricha VISION: a framework for voltage island aware synthesis of interconnection networks-on-chip. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Sohaib Majzoub Voltage island design in multi-core SIMD processors. Search on Bibsonomy IDT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Houng-Yi Li, Iris Hui-Ru Jiang, Hung-Ming Chen Simultaneous voltage island generation and floorplanning. Search on Bibsonomy SoCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Behnam Amelifard, Massoud Pedram Optimal Design of the Power-Delivery Network for Multiple Voltage-Island System-on-Chips. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Wan-Ping Lee, Hung-Yi Liu, Yao-Wen Chang Voltage-Island Partitioning and Floorplanning Under Timing Constraints. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Sohaib Majzoub, Resve A. Saleh, Rabab K. Ward PVT variation impact on voltage island formation in MPSoC design. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Sohaib Majzoub, Resve A. Saleh, Steven J. E. Wilton, Rabab Ward Simultaneous PVT-tolerant voltage-island formation and core placement for thousand-core platforms. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Bonesi Stefano, Davide Bertozzi, Luca Benini, Enrico Macii Process Variation Tolerant Pipeline Design Through a Placement-Aware Multiple Voltage Island Design Style. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Yici Cai, Bin Liu 0007, Qiang Zhou 0001, Xianlong Hong Voltage Island Generation in Cell Based Dual-Vdd Design. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Wan-Ping Lee, Hung-Yi Liu, Yao-Wen Chang Voltage island aware floorplanning for power and timing optimization. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Emil Talpes, Diana Marculescu Toward a multiple clock/voltage island design style for power-aware processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Huaizhi Wu, I-Min Liu, Martin D. F. Wong, Yusu Wang Post-placement voltage island generation under performance requirement. Search on Bibsonomy ICCAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Yinghai Lu, Hai Zhou 0001, Li Shang, Xuan Zeng 0001 Multicore parallel min-cost flow algorithm for CAD applications. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF min-cost flow, parallel programming, multicore
18Shengqi Yang, Wenping Wang, Tiehan Lv, Wayne H. Wolf, Narayanan Vijaykrishnan, Yuan Xie 0001 Case Study of Reliability-Aware and Low-Power Design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #70 of 70 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license