The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for wiresizing with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1993-2009 (14)
Publication types (Num. hits)
article(4) inproceedings(10)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 22 occurrences of 21 keywords

Results
Found 14 publication records. Showing 14 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
171Jason Cong, Kwok-Shing Leung Optimal wiresizing under Elmore delay model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
132Jason Cong, Lei He 0001 Optimal wiresizing for interconnects with multiple sources. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF bundled refinement, decomposition of multi-source routing tree, dominance property, multi-source net, multi-source routing tree, optimal wiresizing, variable segment-division, high performance, SPICE, fidelity, interconnect optimization, Elmore delay, local refinement, layout optimization
132Tianxiong Xue, Ernest S. Kuh Post routing performance optimization via multi-link insertion and non-uniform wiresizing. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF post routing performance optimization, link insertion and wiresizing, delay skew, routing area, delay
132Jason Cong, Lei He 0001 Optimal wiresizing for interconnects with multiple sources. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF performance driven layout, optimal wiresizing, interconnect optimization, VLSI routing
79Minghorng Lai, Martin D. F. Wong Maze routing with buffer insertion and wiresizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
63Sari Onaissi, Khaled R. Heloue, Farid N. Najm Clock skew optimization via wiresizing for timing sign-off covering all process corners. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF clock skew optimization, parameterized timing analysis, sign-off, wiresizing, variability
56Minghorng Lai, D. F. Wong 0001 Maze routing with buffer insertion and wiresizing. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
33Satyamurthy Pullela, Noel Menezes, Lawrence T. Pileggi Post-processing of clock trees via wiresizing and buffering for robust design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
33Jatan C. Shah, Sachin S. Sapatnekar Wiresizing with Buffer Placement and Sizing for Power-Delay Tradeoffs. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF power-delay tradeoffs, dynamic programming, Interconnect, sensitivity, buffer, sizing, repeaters, drivers
33Tianxiong Xue, Ernest S. Kuh Post routing performance optimization via tapered link insertion and wiresizing. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
33Jason Cong, Kwok-Shing Leung Optimal wiresizing under the distributed Elmore delay model. Search on Bibsonomy ICCAD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23Sean X. Shi, David Z. Pan Wire sizing with scattering effect for nanoscale interconnection. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Gary Ellis, Lawrence T. Pileggi, Rob A. Rutenbar A hierarchical decomposition methodology for multistage clock circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF performance driven router, routing, process variations, manufacturability, clock
23Jason Cong, David Zhigang Pan, Lei He 0001, Cheng-Kok Koh, Kei-Yong Khoo Interconnect design for deep submicron ICs. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  BibTeX  RDF required-arrival-time Steiner tree higher-order moment signal delay and integrity
Displaying result #1 - #14 of 14 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license