The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DAC"( http://dblp.L3S.de/Venues/DAC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/dac

Publication years (Num. hits)
1964 (18) 1965 (20) 1966 (17) 1967 (25) 1968 (29) 1969 (31) 1970 (37) 1971 (40) 1972 (50) 1973 (37) 1974 (48) 1975 (56) 1976 (65) 1977 (78) 1978 (76) 1979 (93) 1980 (96) 1981 (136) 1982 (133) 1983 (136) 1984 (126) 1985 (138) 1986 (126) 1987 (129) 1988 (133) 1989 (158) 1990 (133) 1991 (148) 1992 (141) 1993 (142) 1994 (136) 1995 (123) 1996 (152) 1997 (141) 1998 (157) 1999 (182) 2000 (161) 2001 (161) 2002 (170) 2003 (190) 2004 (198) 2005 (192) 2006 (220) 2007 (202) 2008 (196) 2009 (194) 2010 (184) 2011 (188) 2012 (197) 2013 (186) 2014 (214) 2015 (204) 2016 (175) 2017 (178) 2018 (180) 2019 (241) 2020 (261) 2021 (246) 2022 (247) 2023 (323)
Publication types (Num. hits)
inproceedings(8334) proceedings(60)
Venues (Conferences, Journals, ...)
DAC(8394)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4757 occurrences of 1662 keywords

Results
Found 8394 publication records. Showing 8394 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Marcus Pan System-level Innovation for the Next Decade AI Performance, Power, Area with Co-optimization. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Xiaolei Zhao, Zhaoyun Chen, Yang Shi, Mei Wen, Chunyun Zhang Automatic End-to-End Joint Optimization for Kernel Compilation on DSPs. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zheyuan Ma, Xi Tan, Lukasz Ziarek, Ning Zhang 0017, Hongxin Hu, Ziming Zhao 0001 Return-to-Non-Secure Vulnerabilities on ARM Cortex-M TrustZone: Attack and Defense. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Bo Yu 0014, Jie Tang 0003, Shaoshan Liu Invited: Autonomous Driving Digital Twin Empowered Design Automation: An Industry Perspective. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Wei W. Xing, Zheng Xing, Rongqi Lu, Zhelong Wang, Ning Xu, Yuanqing Cheng, Weisheng Zhao TOTAL: Multi-Corners Timing Optimization Based on Transfer and Active Learning. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhaojun Lu, Houjia Qidiao, Qidong Chen, Zhenglin Liu, Jiliang Zhang 0002 An FPGA-Compatible TRNG with Ultra-High Throughput and Energy Efficiency. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mingchen Lu, Peiquan Jin, Xiaoliang Wang, Yongping Luo, Kuankuan Guo ZoneKV: A Space-Efficient Key-Value Store for ZNS SSDs. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Noel Daniel Gundi, Zinnia Muntaha Mowri, Andrew Chamberlin, Sanghamitra Roy, Koushik Chakraborty STRIVE: Enabling Choke Point Detection and Timing Error Resilience in a Low-Power Tensor Processing Unit. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Dian-Lun Lin, Yanqing Zhang 0002, Haoxing Ren, Brucek Khailany, Shih-Hsin Wang, Tsung-Wei Huang GenFuzz: GPU-accelerated Hardware Fuzzing using Genetic Algorithm with Multiple Inputs. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Josse Van Delm, Maarten Vandersteegen, Alessio Burrello, Giuseppe Maria Sarda, Francesco Conti 0001, Daniele Jahier Pagliari, Luca Benini, Marian Verhelst HTVM: Efficient Neural Network Deployment On Heterogeneous TinyML Platforms. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jung-Woo Chang, Mojan Javaheripi, Farinaz Koushanfar VideoFlip: Adversarial Bit Flips for Reducing Video Service Quality. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mengxin Zheng, Qian Lou, Lei Jiang 0001 Primer: Fast Private Transformer Inference on Encrypted Data. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jeremy Casas, Zhenkun Yang, Wen Wang, Jin Yang 0006, Adwait Godbole Towards A Formally Verified Fully Homomorphic Encryption Compute Engine. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Guojin Chen, Zehua Pei, Haoyu Yang, Yuzhe Ma, Bei Yu 0001, Martin D. F. Wong Physics-Informed Optical Kernel Regression Using Complex-valued Neural Fields. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hao Kong, Di Liu 0002, Xiangzhong Luo, Shuo Huai, Ravi Subramaniam, Christian Makaya, Qian Lin 0001, Weichen Liu Towards Efficient Convolutional Neural Network for Embedded Hardware via Multi-Dimensional Pruning. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Khan Shaikhul Hadi, Naveed Ul Mustafa, Mark Heinrich, Yan Solihin Hardware Support for Durable Atomic Instructions for Persistent Parallel Programming. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ruibin Mao, Xia Sheng, Catherine Graves, Cong Xu, Can Li ReRAM-based graph attention network with node-centric edge searching and hamming similarity. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tirthak Patel, Devesh Tiwari Invited: Building Robust Quantum System Software for Technology-Specific Characteristics. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Guorui Xu, Fan Zhang 0010, Xinjie Zhao, Yuan Chen, Shize Guo, Kui Ren 0001 Stalker: A Framework to Analyze Fragility of Cryptographic Libraries under Hardware Fault Models. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yu Zhu, Zhenhua Zhu, Guohao Dai, Fengbin Tu, Hanbo Sun, Kwang-Ting Cheng, Huazhong Yang, Yu Wang 0002 PIM-HLS: An Automatic Hardware Generation Tool for Heterogeneous Processing-In-Memory-based Neural Network Accelerators. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zishen Wan, Nandhini Chandramoorthy, Karthik Swaminathan, Pin-Yu Chen, Vijay Janapa Reddi, Arijit Raychowdhury BERRY: Bit Error Robustness for Energy-Efficient Reinforcement Learning-Based Autonomous Systems. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yi Sheng, Junhuan Yang, Lei Yang, Yiyu Shi 0001, Jingtong Hu, Weiwen Jiang Muffin: A Framework Toward Multi-Dimension AI Fairness by Uniting Off-the-Shelf Models. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mohammed Bakr Sikal, Heba Khdr, Martin Rapp, Jörg Henkel Machine Learning-based Thermally-Safe Cache Contention Mitigation in Clustered Manycores. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Wayne Luk Heterogeneous Reconfigurable Accelerators: Trends and Perspectives. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jiteshri Dasari, Maciej J. Ciesielski Formal Verification of Restoring Dividers made Fast and Simple. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Akul Malhotra, Chunguang Wang, Sumeet Kumar Gupta TFix: Exploiting the Natural Redundancy of Ternary Neural Networks for Fault Tolerant In-Memory Vector Matrix Multiplication. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Dennis Rich, Anna Kasperovich, Mohamadali Malakoutian, Robert M. Radway, Shiho Hagiwara, Takahide Yoshikawa, Srabanti Chowdhury, Subhasish Mitra Thermal Scaffolding for Ultra-Dense 3D Integrated Circuits. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yixuan Hu, Tengyu Zhang, Meng Li 0004, Renjie Wei, Liangzhen Lai, Yuan Wang 0001, Runsheng Wang, Ru Huang Efficient Non-Linear Adder for Stochastic Computing with Approximate Spatial-Temporal Sorting Network. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ecenur Ustun, Cunxi Yu, Zhiru Zhang Equality Saturation for Datapath Synthesis: A Pathway to Pareto Optimality. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Won Woo Ro Lightning Talk: Efficiency and Programmability of DNN Accelerators and GPUs. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jin Yang 0006, Jeremy Casas, Zhenkun Yang Invited: A Scalable Formal Approach for Correctness-Assured Hardware Design. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jialai Wang, Wenjie Qu 0001, Yi Rong, Han Qiu 0001, Qi Li 0002, Zongpeng Li, Chao Zhang 0008 MPass: Bypassing Learning-based Static Malware Detectors. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jasper Van Woudenberg, Peter Grossmann, Avinash Varna 0001, Joseph Friel, Daniel Dinu, Ronnie Lindsay, Steve J. Brown Invited: Pre-silicon Side Channel and Fault Analysis. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhongzhi Yu, Yonggan Fu, Jiayi Yuan, Haoran You, Yingyan Celine Lin NetBooster: Empowering Tiny Deep Learning By Standing on the Shoulders of Deep Giants. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Enhyeok Jang, Seungwoo Choi, Won Woo Ro Quixote: Improving Fidelity of Quantum Program by Independent Execution of Controlled Gates. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tianyu Zhang 0001, Xiaobo Sharon Hu, Song Han 0002 Contention-Free Configured Grant Scheduling for 5G URLLC Traffic. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sukarn Agarwal, Shounak Chakraborty 0001, Magnus Själander Architecting Selective Refresh based Multi-Retention Cache for Heterogeneous System (ARMOUR). Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Vijaykrishnan Narayanan Lightning Talk: Can memory technologies meet demands of data abundant applications? Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Saranyu Chattopadhyay, Keerthikumara Devarajegowda, Bihan Zhao, Florian Lonsing, Brandon A. D'Agostino, Ioanna Vavelidou, Vijay Deep Bhatt, Sebastian Prebeck, Wolfgang Ecker, Caroline Trippel, Clark W. Barrett, Subhasish Mitra G-QED: Generalized QED Pre-silicon Verification beyond Non-Interfering Hardware Accelerators. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Wei-Hsiang Tseng, Yao-Wen Chang Late Breaking Results: An Efficient Bridge-based Compression Algorithm for Topologically Quantum Error Corrected Circuits. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Guoqi Xie, Chenglai Xiong, Wei Wu, Renfa Li, Wanli Chang 0001 Holistic WCRT Analysis for Global Fixed-Priority Preemptive Multiprocessor Scheduling. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Md. Shohidul Islam, Ihsen Alouani, Khaled N. Khasawneh Stochastic-HMDs: Adversarial-Resilient Hardware Malware Detectors via Undervolting. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Lixia Han, Peng Huang 0004, Zheng Zhou, Yiyang Chen, Xiaoyan Liu, Jinfeng Kang A Convolution Neural Network Accelerator Design with Weight Mapping and Pipeline Optimization. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ziyi Wang, Siting Liu 0002, Yuan Pu, Song Chen 0001, Tsung-Yi Ho, Bei Yu 0001 Restructure-Tolerant Timing Prediction via Multimodal Fusion. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1S. S. Teja Nibhanupudi, Sirish Oruganti, Rahul Mathur, Nishant Gupta, Meizhi Wang, Jaydeep P. Kulkarni Invited: Buried Power Rails and Back-side Power Grids: Prospects and Challenges. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yingheng Li, Aditya Pawar, Mohadeseh Azari, Yanan Guo 0002, Youtao Zhang, Jun Yang 0002, Kaushik Parasuram Seshadreesan, Xulong Tang Orchestrating Measurement-Based Quantum Computation over Photonic Quantum Processors. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1A. Alper Goksoy, Sizhe An, Ümit Y. Ogras Energy-Efficient On-Chip Training for Customized Home-based Rehabilitation Systems. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jie He, Dejan Nickovic, Ezio Bartocci, Radu Grosu TD-Magic: From Pictures of Timing Diagrams To Formal Specifications. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Andreas Karatzas, Iraklis Anagnostopoulos OmniBoost: Boosting Throughput of Heterogeneous Embedded Devices under Multi-DNN Workload. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Xinming Wei, Jiaxi Zhang 0001, Guojie Luo GDSII-Guard: ECO Anti-Trojan Optimization with Exploratory Timing-Security Trade-Offs. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yang Katie Zhao, Shang Wu, Jingqun Zhang, Sixu Li, Chaojian Li, Yingyan Celine Lin Instant-NeRF: Instant On-Device Neural Radiance Field Training via Algorithm-Accelerator Co-Designed Near-Memory Processing. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chieh-Lin Tsai, Chun-Feng Wu, Yuan-Hao Chang 0001, Han-Wen Hu, Yung-Chun Lee, Hsiang-Pang Li, Tei-Wei Kuo A digital 3D TCAM accelerator for the inference phase of Random Forest. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Dajiang Liu, Di Mou, Rong Zhu, Yan Zhuang, Jiaxing Shang, Jiang Zhong, Shouyi Yin DARIC: A Data Reuse-Friendly CGRA for Parallel Data Access via Elastic FIFOs. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jeferson González-Gómez, Mohammed Bakr Sikal, Heba Khdr, Lars Bauer, Jörg Henkel Smart Detection of Obfuscated Thermal Covert Channel Attacks in Many-core Processors. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Dongsheng Zuo, Yikang Ouyang, Yuzhe Ma RL-MUL: Multiplier Design Optimization with Deep Reinforcement Learning. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jingyao Zhang 0002, Mohsen Imani, Elaheh Sadredini BP-NTT: Fast and Compact in-SRAM Number Theoretic Transform with Bit-Parallel Modular Multiplication. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shaoyi Huang, Bowen Lei, Dongkuan Xu, Hongwu Peng, Yue Sun, Mimi Xie, Caiwen Ding Dynamic Sparse Training via Balancing the Exploration-Exploitation Trade-off. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Insu Choi, Jae-Youn Hong, JaeHwa Jeon, Joon-Sung Yang RQ-DNN: Reliable Quantization for Fault-tolerant Deep Neural Networks. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chun-Yu Wei, Jie-Hong R. Jiang Don't-Care Aware ESOP Extraction via Reduced Decomposition-Tree Exploration. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chi-Tse Huang, Cheng-Yang Chang, Yu-Chuan Chuang, An-Yeu Andy Wu BWA-NIMC: Budget-based Workload Allocation for Hybrid Near/In-Memory-Computing. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yongbo Yu, Fuxun Yu, Xiang Sheng, Chenchen Liu, Xiang Chen 0010 EagleRec: Edge-Scale Recommendation System Acceleration with Inter-Stage Parallelism Optimization on GPUs. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ruisi Zhang, Mojan Javaheripi, Zahra Ghodsi, Amit Bleiweiss, Farinaz Koushanfar AdaGL: Adaptive Learning for Agile Distributed Training of Gigantic GNNs. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jinxin Zhang, Jiarui Bao, Zhangcheng Huang, Xuan Zeng, Ye Lu Automated Design of Complex Analog Circuits with Multiagent based Reinforcement Learning. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Qiushi Li, Ju Ren 0001, Yan Zhang 0104, Chengru Song, Yiqiao Liao, Yaoxue Zhang Privacy-Preserving DNN Training with Prefetched Meta-Keys on Heterogeneous Neural Network Accelerators. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Susmit Jha Lightning Talk: Trinity - Assured Neuro-symbolic Model Inspired by Hierarchical Predictive Coding. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shaoyi Huang, Haowen Fang, Kaleel Mahmood, Bowen Lei, Nuo Xu, Bin Lei, Yue Sun, Dongkuan Xu, Wujie Wen, Caiwen Ding Neurogenesis Dynamics-inspired Spiking Neural Network Training Acceleration. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Arpan Suravi Prasad, Luca Benini, Francesco Conti 0001 Specialization meets Flexibility: a Heterogeneous Architecture for High-Efficiency, High-flexibility AR/VR Processing. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Peng Cao, Jiahao Wang Late Breaking Results: RL-LPO: Reinforcement Learning Based Leakage Power Optimization Framework with Graph Neural Network. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Aidong Zhao, Xianan Wang, Zixiao Lin, Zhaori Bi, Xudong Li, Changhao Yan, Fan Yang 0001, Li Shang, Dian Zhou, Xuan Zeng 0001 cVTS: A Constrained Voronoi Tree Search Method for High Dimensional Analog Circuit Synthesis. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shamiul Alam, Jack Hutchins, Md. Shafayat Hossain, Kai Ni 0004, Vijaykrishnan Narayanan, Ahmedullah Aziz Cryogenic In-Memory Matrix-Vector Multiplication using Ferroelectric Superconducting Quantum Interference Device (FE-SQUID). Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kevin Hutto, Vincent John Mooney Late Breaking Results: COPPER: Computation Obfuscation by Producing Permutations for Encoding Randomly. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Lin Li, Xianzhang Chen, Jiali Li, Jiapin Wang, Duo Liu, Yujuan Tan, Ao Ren Optimizing the Performance of NDP Operations by Retrieving File Semantics in Storage. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Liang-Chi Chen, Chien-Chung Ho, Yuan-Hao Chang 0001 UpPipe: A Novel Pipeline Management on In-Memory Processors for RNA-seq Quantification. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Carmine Rizzi, Andrea Guerrieri, Lana Josipovic An Iterative Method for Mapping-Aware Frequency Regulation in Dataflow Circuits. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jiaqi Yang, Hao Zheng 0005, Ahmed Louri Venus: A Versatile Deep Neural Network Accelerator Architecture Design for Multiple Applications. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hongduo Liu, Peiyu Liao, Mengchuan Zou, Bowen Pang, Xijun Li, Mingxuan Yuan, Tsung-Yi Ho, Bei Yu 0001 Layout Decomposition via Boolean Satisfiability. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Suhail Basalama, Jie Wang 0022, Jason Cong A Comprehensive Automated Exploration Framework for Systolic Array Designs. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Junyao Wang, Hanning Chen, Mariam Issa, Sitao Huang, Mohsen Imani Late Breaking Results: Scalable and Efficient Hyperdimensional Computing for Network Intrusion Detection. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Anupam Golder, Debayan Das, Santosh Ghosh, Avinash Varna 0001, Majid Sabbagh, Sayak Ray, Rana Elnaggar, Joseph Friel, Daniel Dinu, Jason M. Fung Power Side-Channel Vulnerability Assessment of Lightweight Cryptographic Scheme, XOODYAK. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jiaxian Chen, Zhaoyu Zhong, Kaoyi Sun, Chenlin Ma, Rui Mao 0001, Yi Wang 0003 Lift: Exploiting Hybrid Stacked Memory for Energy-Efficient Processing of Graph Convolutional Networks. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Subhajit Dutta Chowdhury, Kaixin Yang, Pierluigi Nuzzo 0002 SimLL: Similarity-Based Logic Locking Against Machine Learning Attacks. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tianyu Wang, Jin Xue, Zelin Du, Zhiqi Wang, Yaotian Cui, Zili Shao Lightning Talk: Model, Framework and Integration for In-Storage Computing with Computational SSDs. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Qingfeng Wang, Ze-Pei Cian, Ming Li, Igor L. Markov, Yunseong Nam Ever more optimized simulations of fermionic systems on a quantum computer. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Liang Chang 0002, Xin Zhao, Dongqi Fan, Zhicheng Hu, Jun Zhou 0017 HDSuper: Algorithm-Hardware Co-design for Light-weight High-quality Super-Resolution Accelerator. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Janghyeon Kim, Janghwan Lee, Jungwook Choi, JeongHo Han, Sangheon Lee Range-Invariant Approximation of Non-Linear Operations for Efficient BERT Fine-Tuning. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Nick Eliopoulos, Yung-Hsiang Lu Lightning Talk 6: Bringing Together Foundation Models and Edge Devices. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhirui Hu, Youzuo Lin, Qiang Guan, Weiwen Jiang Battle Against Fluctuating Quantum Noise: Compression-Aided Framework to Enable Robust Quantum Neural Network. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Peng Zou, Guohao Chen, Zhifeng Lin, Jun Yu 0010, Jianli Chen Toward Optimal Filler Cell Insertion with Complex Implant Layer Constraints. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yun-Chen Lo, Ren-Shuo Liu Bit-Serial Cache: Exploiting Input Bit Vector Repetition to Accelerate Bit-Serial Inference. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Changdi Yang, Yi Sheng, Peiyan Dong, Zhenglun Kong, Yanyu Li, Pinrui Yu, Lei Yang, Xue Lin Late Breaking Results: Fast Fair Medical Applications? Hybrid Vision Models Achieve the Fairness on the Edge. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hongwu Peng, Shanglin Zhou, Yukui Luo, Nuo Xu, Shijin Duan, Ran Ran, Jiahui Zhao, Chenghong Wang, Tong Geng, Wujie Wen, Xiaolin Xu, Caiwen Ding PASNet: Polynomial Architecture Search Framework for Two-party Computation-based Secure Neural Network Deployment. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jian Zhang, Jilin Zhang, Dexuan Huo, Hong Chen 0002 ANAS: Asynchronous Neuromorphic Hardware Architecture Search Based on a System-Level Simulator. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Patrick Iff, Maciej Besta, Matheus A. Cavalcante, Tim Fischer 0001, Luca Benini, Torsten Hoefler HexaMesh: Scaling to Hundreds of Chiplets with an Optimized Chiplet Arrangement. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zizheng Guo, Zuodong Zhang, Xun Jiang, Wuxi Li, Yibo Lin, Runsheng Wang, Ru Huang General-Purpose Gate-Level Simulation with Partition-Agnostic Parallelism. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hanrui Zhao, Xia Zeng, Niuniu Qi, Zhengfeng Yang, Zhenbing Zeng Safe DNN-type Controller Synthesis for Nonlinear Systems via Meta Reinforcement Learning. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhican Wang, Gang Wang, Honglan Jiang, Ningyi Xu, Guanghui He COSA:Co-Operative Systolic Arrays for Multi-head Attention Mechanism in Neural Network using Hybrid Data Reuse and Fusion Methodologies. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zixiao Wang, Yunheng Shen, Wenqian Zhao, Yang Bai, Guojin Chen, Farzan Farnia, Bei Yu 0001 DiffPattern: Layout Pattern Generation via Discrete Diffusion. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Wan-Hsuan Lin, Jason Kimko, Bochen Tan, Nikolaj S. Bjørner, Jason Cong Scalable Optimal Layout Synthesis for NISQ Quantum Processors. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhuo Su 0005, Zehong Yu, Dongyan Wang, Yixiao Yang, Rui Wang 0024, Wanli Chang 0001, Aiguo Cui, Yu Jiang 0001 STCG: State-Aware Test Case Generation for Simulink Models. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Felix Staudigl, Thorben Fetz, Rebecca Pelke, Dominik Sisejkovic, Jan Moritz Joseph, Letícia Maria Bolzani Pöhls, Rainer Leupers Fault Injection in Native Logic-in-Memory Computation on Neuromorphic Hardware. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 8394 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license