The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISLPD"( http://dblp.L3S.de/Venues/ISLPD )

URL (DBLP): http://dblp.uni-trier.de/db/conf/islped

Publication years (Num. hits)
1995 (41)
Publication types (Num. hits)
inproceedings(40) proceedings(1)
Venues (Conferences, Journals, ...)
ISLPD(41)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1 occurrences of 1 keywords

Results
Found 41 publication records. Showing 41 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1S. Turgis, Nadine Azémard, Daniel Auvergne Explicit evaluation of short circuit power dissipation for CMOS logic structures. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Sven Wuytack, Francky Catthoor, Hugo De Man Transforming set data types to power optimal data structures. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Premal Buch, Shen Lin 0001, Vijay Nagasamy, Ernest S. Kuh Techniques for fast circuit simulation applied to power estimation of CMOS circuits. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Rafael Fried, Reuven Holzer Low power and EMI, high frequency, crystal oscillator. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Chetana Nagendra, Robert Michael Owens, Mary Jane Irwin Unifying carry-sum and signed-digital number representations for low power. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Kei-Yong Khoo, Alan N. Willson Jr. Charge recovery on a databus. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Kimiyoshi Usami, Mark Horowitz Clustered voltage scaling technique for low-power design. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Vivek Tiwari, Sharad Malik, Pranav Ashar Guarded evaluation: pushing power management to logic synthesis/design. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Alan Kramer, Roberto Canegallo, Mauro Chinosi, D. Doise, Giovanni Gozzini, Pier Luigi Rolandi, Marco Sabatini, P. Zabberoni Ultra-low-power analog associative memory core using flash-EEPROM-based programmable capacitors. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Paul E. Landman, Jan M. Rabaey Activity-sensitive architectural power analysis for the control path. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Massoud Pedram, Robert W. Brodersen, Kurt Keutzer (eds.) Proceedings of the 1995 International Symposium on Low Power Design 1995, Dana Point, California, USA, April 23-26, 1995 Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  BibTeX  RDF
1Michele Favalli, Luca Benini Analysis of glitch power dissipation in CMOS ICs. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Anthony Correale Jr. Overview of the power minimization techniques employed in the IBM PowerPC 4xx embedded controllers. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF PowerPC
1M. Tachibana, S. Kurosawa, R. Nojima, Norman Kojima, Masaaki Yamada, Takashi Mitsuhashi, Nobuyuki Goto Power and area optimization by reorganizing CMOS complex gate circuits. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Alan Kramer, John S. Denker, B. Flower, J. Moroney 2nd order adiabatic computation with 2N-2P and 2N-2N2P logic circuits. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Aurobindo Dasgupta, Ramesh Karri Simultaneous scheduling and binding for power minimization during microarchitecture synthesis. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Anthony M. Hill, Sung-Mo Kang Determining accuracy bounds for simulation-based switching activity estimation. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Manjit Borah, Robert Michael Owens, Mary Jane Irwin Transistor sizing for minimizing power consumption of CMOS circuits under delay constraint. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Walter Davis The CAD challenges of designing low power, high performance VLSI system. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1David J. Frank, Paul M. Solomon Electroid-oriented adiabatic switching circuits. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Ching-Long Su, Alvin M. Despain Cache design trade-offs for power and performance optimization: a case study. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Manjit Borah, Robert Michael Owens, Mary Jane Irwin High-throughput and low-power DSP using clocked-CMOS circuitry. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1William A. Chren Jr. Low delay-power product CMOS design using one-hot residue coding. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Luca Benini, Giovanni De Micheli Transformation and synthesis of FSMs for low-power gated-clock implementation. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Diana Marculescu, Radu Marculescu, Massoud Pedram Information theoretic measures of energy consumption at register transfer level. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Enric Musoll, Jordi Cortadella High-level synthesis techniques for reducing the activity of functional units. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Uming Ko, Poras T. Balsara, Ashwini K. Nanda Energy optimization of multi-level processor cache architectures. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1José Monteiro 0001, Srinivas Devadas Techniques for the power estimation of sequential logic circuits under user-specified input sequences and programs. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Christian Piguet, Jean-Marc Masgonty, Vincent von Kaenel, Thierry Schneider Logic design for low-voltage/low-power CMOS circuits. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Christos A. Papachristou, Mark Spining, Mehrdad Nourani A multiple clocking scheme for low power RTL design. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Charlie X. Huang, Bill Zhang, An-Chang Deng, Burkhard Swirski The design and implementation of PowerMill. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Vamshi Veeramachaneni, Akhilesh Tyagi, Suresh Rajgopal Re-encoding for low power state assignment of FSMs. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Salil Raje, Majid Sarrafzadeh Variable voltage scheduling. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Abelardo Pardo, R. Iris Bahar, Srilatha Manne, Peter Feldmann, Gary D. Hachtel, Fabio Somenzi CMOS dynamic power estimation based on collapsible current source transistor modeling. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Farid N. Najm Towards a high-level power estimation capability. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Phillip E. Allen, Benjamin J. Blalock, Gabriel A. Rincon Low voltage analog circuits using standard CMOS technology. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Peter A. Beerel, Cheng-Ta Hsieh, Suhrid A. Wadekar Estimation of energy consumption in speed-independent control circuits. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Ramesh Panwar, David A. Rennels Reducing the frequency of tag compares for low power I-cache design. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Christopher K. Lennard, A. Richard Newton An estimation technique to guide low power resynthesis algorithms. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Alexey Glebov, David T. Blaauw, Larry G. Jones Transistor reordering for low power CMOS gates using an SP-BDD representation. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
1Jae W. Chung, De-Yu Kao, Chung-Kuan Cheng, Ting-Ting Y. Lin Optimization of power dissipation and skew sensitivity in clock buffer synthesis. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #41 of 41 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license