The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISPD"( http://dblp.L3S.de/Venues/ISPD )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ispd

Publication years (Num. hits)
1997 (34) 1998 (32) 1999 (33) 2000 (35) 2001 (36) 2002 (35) 2003 (32) 2004 (34) 2005 (45) 2006 (40) 2007 (33) 2008 (34) 2009 (34) 2010 (37) 2011 (31) 2012 (34) 2013 (39) 2014 (31) 2015 (30) 2016 (32) 2017 (32) 2018 (28) 2019 (40) 2020 (23) 2021 (27) 2022 (42) 2023 (50) 2024 (50)
Publication types (Num. hits)
inproceedings(956) proceedings(27)
Venues (Conferences, Journals, ...)
ISPD(983)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 841 occurrences of 340 keywords

Results
Found 983 publication records. Showing 983 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Tsung-Wei Huang, Boyang Zhang, Dian-Lun Lin, Cheng-Hsiang Chiu Parallel and Heterogeneous Timing Analysis: Partition, Algorithm, and System. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Bor-Sung Liang Computing Architecture for Large-Language Models (LLMs) and Large Multimodal Models (LMMs). Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Hao-Hsiang Hsiao, Yi-Chen Lu, Pruek Vanna-Iampikul, Sung Kyu Lim FastTuner: Transferable Physical Design Parameter Optimization using Fast Reinforcement Learning. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Wei-Chen Tai, Min-Hsien Chung, Iris Hui-Ru Jiang Novel Airgap Insertion and Layer Reassignment for Timing Optimization Guided by Slack Dependency. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Mark Po-Hung Lin, Chou-Chen Lee, Yi-Chao Hsieh Reinforcement Learning or Simulated Annealing for Analog Placement? A Study based on Bounded-Sliceline Grids. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Jürgen Scheible Fundamental Differences Between Analog and Digital Design Problems - An Introduction. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Jim Chang 3Dblox: Unleash the Ultimate 3DIC Design Productivity. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1I-Lun Tseng Challenges in Floorplanning and Macro Placement for Modern SoCs. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng Solvers, Engines, Tools and Flows: The Next Wave for AI/ML in Physical Design. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Suwan Kim, Hyunbum Park, Kyeonghyeon Baek, Kyumyung Choi, Taewhan Kim Methodology of Resolving Design Rule Checking Violations Coupled with Fully Compatible Prediction Model. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Evangeline F. Y. Young Accelerating Physical Design from 1 to N. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Rongjian Liang, Anthony Agnesina, Haoxing Ren MedPart: A Multi-Level Evolutionary Differentiable Hypergraph Partitioner. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Yuan Pu, Tinghuan Chen, Zhuolun He, Chen Bai, Haisheng Zheng, Yibo Lin, Bei Yu 0001 IncreMacro: Incremental Macro Placement Refinement. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Wei-Hsiang Tseng, Yao-Wen Chang, Jie-Hong Roland Jiang Satisfiability Modulo Theories-Based Qubit Mapping for Trapped-Ion Quantum Computing Systems. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Hung-Ming Chen Enabling System Design in 3D Integration: Technologies and Methodologies. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Piyush Verma DSO.ai - A Distributed System to Optimize Physical Design Flows. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Siting Liu 0002, Jiaxi Jiang, Zhuolun He, Ziyi Wang, Yibo Lin, Bei Yu 0001, Martin D. F. Wong Routing-aware Legal Hybrid Bonding Terminal Assignment for 3D Face-to-Face Stacked ICs. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Guang-Wan Liao PANEL: EDA Challenges at Advanced Technology Nodes B. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Shuo Yin, Wenqian Zhao, Li Xie, Hong Chen, Yuzhe Ma, Tsung-Yi Ho, Bei Yu 0001 FuILT: Full Chip ILT System With Boundary Healing. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Keh-Jeng Chang PANEL: EDA Challenges at Advanced Technology Nodes C. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Rob Knoth Solving the Physical Challenges for the Next Generation of Safety Critical & High Reliability Systems. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Eugene Liu PANEL: EDA Challenges at Advanced Technology Nodes A. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Teng-Ping Huang, Shao-Yun Fang Practical Mixed-Cell-Height Legalization Considering Vertical Cell Abutment Constraint. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Bing-Xun Song, Ting Xin Lin, Yih-Lang Li Routability Booster " Synthesize a Routing Friendly Standard Cell Library by Relaxing BEOL Resources. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Yu Zhang, Yuan Pu, Fangzhou Liu, Peiyu Liao, Kai-Yuan Chao, Keren Zhu 0001, Yibo Lin, Bei Yu 0001 Multi-Electrostatics Based Placement for Non-Integer Multiple-Height Cells. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Goeran Jerke Physical Design Challenges for Automotive ASICs. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Yao-Wen Chang Physical Design Challenges in Modern Heterogeneous Integration. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Jun-Ho Choy, Stéphane Moreau, Catherine Brunet-Manquat, Valeriy Sukharev, Armen Kteyan Warpage Study by Employing an Advanced Simulation Methodology for Assessing Chip Package Interaction Effects. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Bing-Huan Wu, Wai-Kei Mak Optimization for Buffer and Splitter Insertion in AQFP Circuits with Local and Group Movement. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Ruchir Puri Engineering the Future of IC Design with AI. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Martin D. F. Wong ISPD 2024 Lifetime Achievement Award Bio. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Tung-Chieh Chen Introduction to the Panel on EDA Challenges at Advanced Technology Nodes. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Alex Hung Introduction of 3D IC Thermal Analysis Flow. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Zhuolun He, Bei Yu 0001 Large Language Models for EDA: Future or Mirage? Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Iris Hui-Ru Jiang, Gracieli Posser (eds.) Proceedings of the 2024 International Symposium on Physical Design, ISPD 2024, Taipei, Taiwan, March 12-15, 2024 Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Chia-Tung Ho, Ajay Chandna, David Guan, Alvin Ho, Minsoo Kim, Yaguang Li, Haoxing Ren Novel Transformer Model Based Clustering Method for Standard Cell Design Automation. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Chung-Wei Lin Design Automation Challenges for Automotive Systems. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Ting-Chi Wang Pioneering Contributions of Professor Martin D. F. Wong to Automatic Floorplan Design. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Wuxi Li, Yuji Kukimoto, Grégory Servel, Ismail Bustany, Mehrdad E. Dehkordi Calibration-Based Differentiable Timing Optimization in Non-linear Global Placement. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Jason Cong Scheduling and Physical Design. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Yen-Yu Chen, Hao-Yu Wu, Iris Hui-Ru Jiang, Cheng-Hong Tsai, Chien-Cheng Wu Slack Redistributed Register Clustering with Mixed-Driving Strength Multi-bit Flip-Flops. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Chien-Pang Lu, Iris Hui-Ru Jiang, Chung-Ching Peng, Mohd Mawardi Mohd Razha, Alessandro Uber Power Sub-Mesh Construction in Multiple Power Domain Design with IR Drop and Routability Optimization. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Rongjian Liang, Anthony Agnesina, Wen-Hao Liu, Haoxing Ren GPU/ML-Enhanced Large Scale Global Routing Contest. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Wen-Hao Liu, Anthony Agnesina, Haoxing Mark Ren Challenges for Automating PCB Layout. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Erick Chao AI for EDA/Physical Design: Driving the AI Revolution: The Crucial Role of 3D-IC. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng Panel Statement: EDA Needs at Advanced Technology Nodes. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Wang-Tyng Lay Unified 3D-IC Multi-Chiplet System Design Solution. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Andreas Krinke, Robert Fischbach, Jens Lienig Layout Verification Using Open-Source Software. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Zi-Hao Guo, Ting-Chi Wang SMT-Based Layout Synthesis Approaches for Quantum Circuits. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Jai-Ming Lin, You-Yu Chang, Wei-Lun Huang Timing-Driven Analytical Placement According to Expected Cell Distribution Range. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Chih-Tsun Huang, Juin-Ming Lu, Yao-Hua Chen, Ming-Chih Tung, Shih-Chieh Chang Optimization of AI SoC with Compiler-assisted Virtual Design Platform. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hao Chen 0059, Kai-Chieh Hsu, Walker J. Turner, Po-Hsuan Wei, Keren Zhu 0001, David Z. Pan, Haoxing Ren Reinforcement Learning Guided Detailed Routing for Custom Circuits. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rachel Selina Rajarathnam, Zixuan Jiang, Mahesh A. Iyer, David Z. Pan DREAMPlaceFPGA-PL: An Open-Source GPU-Accelerated Packer-Legalizer for Heterogeneous FPGAs. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Evangeline F. Y. Young GPU Acceleration in Physical Synthesis. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Alberto L. Sangiovanni-Vincentelli, Zheng Liang, Zhe Zhou, Jiaxi Zhang 0001 Automated Design of Chiplets. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Anima Anandkumar Neural Operators for Solving PDEs and Inverse Design. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Nestor E. Evmorfopoulos, Mohammad Abdullah Al Shohel, Olympia Axelou, Pavlos Stoikos, Vidya A. Chhabria, Sachin S. Sapatnekar Recent Progress in the Analysis of Electromigration and Stress Migration in Large Multisegment Interconnects. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Leon Stok Quantum Challenges for EDA. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Malgorzata Marek-Sadowska ISPD 2023 Lifetime Achievement Award Bio. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ahmet Faruk Budak, Keren Zhu 0001, Hao Chen 0059, Souradip Poddar, Linran Zhao, Yaoyao Jia, David Z. Pan Joint Optimization of Sizing and Layout for AMS Designs: Challenges and Opportunities. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Fangzhou Wang, Jinwei Liu, Evangeline F. Y. Young FastPass: Fast Pin Access Analysis with Incremental SAT Solving. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Olalla Varela Pedreira, Houman Zahedmanesh, Youqi Ding, Ivan Ciofi, Kristof Croes Challenges for Interconnect Reliability: From Element to System Level. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Dan Fritchman VLSIR - A Modular Framework for Programming Analog & Custom Circuits & Layouts. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Armen Kteyan, Valeriy Sukharev, Alexander Volkov, Jun-Ho Choy, Farid N. Najm, Yong Hyeon Yi, Chris H. Kim, Stéphane Moreau Electromigration Assessment in Power Grids with Account of Redundancy and Non-Uniform Temperature Distribution. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sachin S. Sapatnekar The ALIGN Automated Analog Layout Engine: Progress, Learnings, and Open Issues. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jai-Ming Lin, Yu-Tien Chen, Yang-Tai Kung, Hao-Jia Lin Voltage-Drop Optimization Through Insertion of Extra Stripes to a Power Delivery Network. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yun-Jhe Jiang, Shao-Yun Fang Pin Access-Oriented Concurrent Detailed Routing. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Burn J. Lin Immersion and EUV Lithography: Two Pillars to Sustain Single-Digit Nanometer Nodes. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Anthony Agnesina, Puranjay Rajvanshi, Tian Yang, Geraldo Pradipta, Austin Jiao, Ben Keller, Brucek Khailany, Haoxing Ren AutoDMP: Automated DREAMPlace-based Macro Placement. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mohammad Eslami, Johann Knechtel, Ozgur Sinanoglu, Ramesh Karri, Samuel Pagliarini Benchmarking Advanced Security Closure of Physical Layouts: ISPD 2023 Contest. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Anne Y. Matsuura Developing Quantum Workloads for Workload-Driven Co-design. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yi-Chen Lu, Haoxing Ren, Hao-Hsiang Hsiao, Sung Kyu Lim DREAM-GAN: Advancing DREAMPlace towards Commercial-Quality using Generative Adversarial Learning. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhiyao Xie Efficient Runtime Power Modeling with On-Chip Power Meters. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jianjun Xu, Jiayu He, Jingyan Zhang, Deheng Yang, Jiang Wu, Xiaoguang Mao Validating the Redundancy Assumption for HDL from Code Clone's Perspective. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Alireza Kaviani Addressing the EDA Roadblocks for Domain-specific Compilers: An Industry Perspective. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Victor Moroz Gate-All-Around Technology is Coming.: What's Next After GAA? Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1David G. Chinnery, Iris Hui-Ru Jiang (eds.) Proceedings of the 2023 International Symposium on Physical Design, ISPD 2023, Virtual Event, USA, March 26-29, 2023 Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Robert Wille, Lukas Burgholzer MQT QMAP: Efficient Quantum Circuit Mapping. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shao-Yun Fang Advanced Design Methodologies for Directed Self-Assembly. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Iris Hui-Ru Jiang, David G. Chinnery EDA for Domain Specific Computing: An Introduction for the Panel. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhiru Zhang, Matthew Hofmann, Andrew Butt A Case for Open EDA Verticals. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Desmond A. Kirkpatrick Software-driven Design for Domain-specific Compute. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sai Pentapati, Anthony Agnesina, Moritz Brunion, Yen-Hsiang Huang, Sung Kyu Lim On Legalization of Die Bonding Bumps and Pads for 3D ICs. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Meng Lian, Yushen Zhang, Mengchu Li, Tsun-Ming Tseng, Ulf Schlichtmann FXT-Route: Efficient High-Performance PCB Routing with Crosstalk Reduction Using Spiral Delay Lines. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hanchen Ye, HyeGang Jun, Jin Yang 0006, Deming Chen High-level Synthesis for Domain Specific Computing. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Soner Yaldiz Analog Layout Automation On Advanced Process Technologies. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jhih-Wei Hsu, Kuan-Cheng Chen, Yan-Syuan Chen, Yu-Hsiang Lo, Yao-Wen Chang Security-aware Physical Design against Trojan Insertion, Frontside Probing, and Fault Injection Attacks. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Erica Douglas, Julia Deitz, Timothy Ruggles, Daniel Perry, Damion Cummings, Mark Rodriguez, Nichole Valdez, Brad Boyce Co-design for Heterogeneous Integration: A Failure Analysis Perspective. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chia-Tung Ho, Alvin Ho, Matthew Fojtik, Minsoo Kim, Shang Wei, Yaguang Li, Brucek Khailany, Haoxing Ren NVCell 2: Routability-Driven Standard Cell Layout in Advanced Nodes with Lattice Graph Routability Model. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Pengwen Chen, Chung-Kuan Cheng, Albert Chern, Chester Holtz, Aoxi Li, Yucheng Wang Placement Initialization via Sequential Subspace Optimization with Sphere Constraints. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Taylor Hogan Goal Driven PCB Synthesis Using Machine Learning and CloudScale Compute. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Aida Todri-Sanial Building Oscillatory Neural Networks: AI Applications and Physical Design Challenges. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hung-Ming Chen, Chu-Wen Ho, Shih-Hsien Wu, Wei Lu, Po-Tsang Huang, Hao-Ju Chang, Chien-Nan Jimmy Liu Reshaping System Design in 3D Integration: Perspectives and Challenges. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Susann Rothe, Jens Lienig Combined Modeling of Electromigration, Thermal and Stress Migration in AC Interconnect Lines. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tim Ansell Google Investment in Open Source Custom Hardware Development Including No-Cost Shuttle Program. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Fangzhou Wang, Qijing Wang, Bangqi Fu, Shui Jiang, Xiaopeng Zhang 0009, Lilas Alrahis, Ozgur Sinanoglu, Johann Knechtel, Tsung-Yi Ho, Evangeline F. Y. Young Security Closure of IC Layouts Against Hardware Trojans. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Helmut Graeb, Markus Leibl Learning from the Implicit Functional Hierarchy in an Analog Netlist. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chung-Kuan Cheng, Andrew B. Kahng, Sayak Kundu, Yucheng Wang, Zhiang Wang Assessment of Reinforcement Learning for Macro Placement. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Xiang Qiu Challenges and Opportunities for Computing-in-Memory Chips. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Saideep Sreekumar, Mohammed Ashraf, Mohammed Thari Nabeel, Ozgur Sinanoglu, Johann Knechtel X-Volt: Joint Tuning of Driver Strengths and Supply Voltages Against Power Side-Channel Attacks. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 983 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license