The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "SoC"( http://dblp.L3S.de/Venues/SoC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/issoc

Publication years (Num. hits)
2003 (43) 2004 (50) 2005 (47) 2006 (50) 2007 (35) 2008 (37) 2009 (36) 2010 (36) 2011 (26)
Publication types (Num. hits)
inproceedings(351) proceedings(9)
Venues (Conferences, Journals, ...)
SoC(360)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 360 publication records. Showing 360 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Pekka Jääskeläinen, Erno Salminen, Otto Esko, Jarmo Takala Customizable Datapath Integrated Lock Unit. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1 2011 International Symposium on System on Chip, SoC 2011, Tampere, Finland, October 31 - November 2, 2011 Search on Bibsonomy SoC The full citation details ... 2011 DBLP  BibTeX  RDF
1Daniele Bortolotti, Francesco Paterna, Christian Pinto, Andrea Marongiu, Martino Ruggiero, Luca Benini Exploring instruction caching strategies for tightly-coupled shared-memory clusters. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Santhosh Kumar Rethinagiri, Rabie Ben Atitallah, Jean-Luc Dekeyser A system level power consumption estimation for MPSoC. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Firew Siyoum, Marc Geilen, Orlando Moreira, Rick J. M. Nas, Henk Corporaal Analyzing synchronous dataflow scenarios for dynamic software-defined radio applications. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tomoki Ikegaya, Ryosuke Oda, Tatsuhiro Yamada, Tomoaki Tsumura, Hiroshi Matsuo, Yasuhiko Nakashima A hybrid model of speculative execution and scout threading for auto-memoization processor. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Claudio Brunelli, Eero Aho, Heikki Berg OpenCL implementation of Cholesky matrix decomposition. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Christoph Schmutzler, Abdallah Lakhtel, Martin Simons 0001, Jürgen Becker 0001 Increasing energy efficiency of automotive E/E-architectures with Intelligent Communication Controllers for FlexRay. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sebastian Höppner, Dennis Walter, Georg Ellguth, René Schüffny Mismatch characterization of high-speed NoC links using asynchronous sub-sampling. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Issam W. Damaj Co-designs of parallel Rijndael. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Omer Malik, Ahmed Hemani Synchronizing distributed state machines in a coarse grain reconfigurable architecture. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Marco Ferraresi, Giuseppina Gobbo, Daniele Ludovici, Davide Bertozzi Bringing Network-on-Chip links to 45nm. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mohammad Badawi, Ahmed Hemani A coarse-grained reconfigurable protocol processor. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Teodor Tite, Adelina Vig, Nicolae Olteanu, Cristian Cuna moviTest: A Test Environment dedicated to multi-core embedded architectures. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Subayal Khan, Jukka Saastamoinen, Mikko Majanen, Jyrki Huusko, Jari Nurmi Analyzing transport and MAC layer in system-level performance simulation. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Milos Krstic, Xin Fan 0003, Eckhard Grass, Christoph Heer, Birgit Sanders, Luca Benini, Mohammad Reza Kakoee, Alessandro Strano, Davide Bertozzi Moonrake chip - GALS demonstrator in 40 nm CMOS technology. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mikhail J. Moiseev, Alexey V. Zakharov, Ilya Klotchkov, Sergey I. Salishev Static analysis method for deadlock detection in SystemC designs. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mehdi Modarressi, Seyed Hossein Nikounia, Amir Hossein Jahangir Low-power arithmetic unit for DSP applications. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Vladimír Guzma, Teemu Pitkänen, Jarmo Takala Effects of loop unrolling and use of instruction buffer on processor energy consumption. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Uli Kretzschmar, Armando Astarloa, Jesús Lázaro 0001, Jaime Jimenez, Aitzol Zuloaga An automatic experimental set-up for robustness analysis of designs implemented on SRAM FPGAS. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Erno Salminen, Timo D. Hämäläinen, Marko Hännikäinen Applying IP-XACT in product data management. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Zubair Wadood Bhatti, Davy Preuveneers, Yolande Berbers, Narasinga Rao Miniskar, Roel Wuyts SAMOSA: Scratchpad aware mapping of streaming applications. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Esko Pekkarinen, Lasse Lehtonen, Erno Salminen, Timo D. Hämäläinen A set of traffic models for Network-on-Chip benchmarking. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tim Wegner, Martin Gag, Dirk Timmermann Impact of proactive temperature management on performance of Networks-on-Chip. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yaset Oliva, Maxime Pelcat, Jean-François Nezan, Jean-Christophe Prévotet, Slaheddine Aridhi Building a RTOS for MPSoC dataflow programming. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Weihua Sheng, Stefan Schürmans, Maximilian Odendahl, Rainer Leupers, Gerd Ascheid Automatic calibration of streaming applications for software mapping exploration. Search on Bibsonomy SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Rainer Findenig, Wolfgang Ecker State chart refinement validation from approximately timed to cycle callable models. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Amirali Ghofrani, Fatemeh Javaheri, Saeed Safari, Zainalabedin Navabi Automatic selection of efficient observability points in combinational gate level circuits using particle swarm optimization. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Diandian Zhang, Han Zhang, Jerónimo Castrillón, Torsten Kempf, Gerd Ascheid, Rainer Leupers, Bart Vanthournout Optimized communication architecture of MPSoCs with a hardware scheduler: A system view. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Kris Heyrman, Peter Veelaert Useful-state encoding: Network control with minimal redundancy. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Vladimír Guzma, Teemu Pitkänen, Jarmo Takala Reducing instruction memory energy consumption by using Instruction Buffer and after scheduling analysis. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Joonas Tyystjärvi, Tero Säntti, Juha Plosila Heap access optimizations for a hardware-accelerated Java virtual machine. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Tomi Aarnio, Claudio Brunelli, Timo Viitanen Efficient floating-point texture decompression. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Tiago Dias 0001, Nuno Roma, Leonel Sousa H.264/AVC framework for multi-core embedded video encoders. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Usha Sandeep Mehta, Niranjan M. Devashrayee, Kankar S. Dasgupta Hamming Distance Based 2-D Reordering with Power Efficient Don't Care Bit Filling: Optimizing the test data compression method. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Alexander Klimm, Matthias Haas, Oliver Sander, Jürgen Becker 0001 A flexible integrated cryptoprocessor for authentication protocols based on hyperelliptic curve cryptography. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ingo Rust, Tobias G. Noll A digit-set-interleaved radix-8 division/square root kernel for double-precision floating point. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Zulfiqar Ali 0002, Ali Arshad, Umair Razzaq, Sawaira Sana, Abdul Haseeb Ahmed, Abdullah M. Harris Design and implementation of an OS-CFAR processor based on a new rank order filtering algorithm. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Leonel Tedesco, Thiago R. da Rosa, Fernando Gehm Moraes A message-level monitoring protocol for QoS flows in NoCs. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Claudio Brunelli, Roberto Airoldi, Jari Nurmi Implementation and benchmarking of FFT algorithms on multicore platforms. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Waqar Hussain 0001, Fabio Garzia, Jari Nurmi Exploiting control management to accelerate Radix-4 FFT on a reconfigurable platform. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Alessandro Strano, Carles Hernández 0001, Federico Silla, Davide Bertozzi Process variation and layout mismatch tolerant design of source synchronous links for GALS networks-on-chip. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Thomas Coenen, Jochen Schleifer, Oliver Weiß, Tobias G. Noll Interconnect routing of embedded FPGAs using standard VLSI routing tools. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Matthias Korb, Tobias G. Noll LDPC decoder area, timing, and energy models for early quantitative hardware cost estimates. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Sebastian Höppner, Dennis Walter, Holger Eisenreich, René Schüffny Efficient compensation of delay variations in high-speed network-on-chip data links. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Pallavi Reddy, Fabien Clermidy, Rasheed Al Khayat, Amer Baghdadi, Michel Jézéquel Power consumption analysis and energy efficient optimization for turbo decoder implementation. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Roberto Airoldi, Fabio Garzia, Omer Anjum, Jari Nurmi Homogeneous MPSoC as baseband signal processing engine for OFDM systems. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Adolf Samir Abdallah, Abdoulaye Gamatié, Jean-Luc Dekeyser Correct and energy-efficient design of SoCs: The H.264 encoder case study. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Piia Saastamoinen, Jari Nurmi Parameterized decompression hardware for a program memory compression system. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Spencer S. Kellis, Nathaniel Gaskin, Bennion Redd, Eric D. Marsman, Richard Brown 0003 Hybrid on-chip clocking for sensor nodes. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Chris Jackson, Simon J. Hollis Skip-links: A dynamically reconfiguring topology for energy-efficient NoCs. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Samarjit Chakraborty Multiprocessor system and software design for distributed control applications. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Sanna Määttä, Leandro Soares Indrusiak, Luciano Ost, Leandro Möller, Manfred Glesner, Fernando Gehm Moraes, Jari Nurmi A case study of hierarchically heterogeneous application modelling using UML and Ptolemy II. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Sandeep Pande, Fearghal Morgan, Seamus Cawley, Brian McGinley, Snaider Carrillo, Jim Harkin, Liam McDaid EMBRACE-SysC for analysis of NoC-based Spiking Neural Network architectures. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Muhammad Nadeem, Stephan Wong, Georgi Kuzmanov, Ahsan Shabbir, Muhammad Faisal Nadeem, Fakhar Anjam Low-power, high-throughput deblocking filter for H.264/AVC. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Nguyen Anh Vu Doan, Frédéric Robert, Yves De Smet, Dragomir Milojevic MCDA-based methodology for efficient 3D-design space exploration and decision. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Lasse Määttä, Jukka Suhonen, Teemu Laukkarinen, Timo D. Hämäläinen, Marko Hännikäinen Program image dissemination protocol for low-energy multihop wireless sensor networks. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Andreas Genser, Christian Bachmann, Christian Steger, Reinhold Weiss, Josef Haid Power emulation based DVFS efficiency investigations for embedded systems. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Timon D. ter Braak, S. T. Burgess, H. Hurskainen, Hans G. Kerkhoff, Bart Vermeulen, Xiao Zhang 0002 On-line dependability enhancement of multiprocessor SoCs by resource management. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Guang Sun, Yong Li 0008, Yuanyuan Zhang, Li Su 0001, Depeng Jin, Lieguang Zeng Energy-aware run-time mapping for homogeneous NoC. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1 2010 International Symposium on System on Chip, SoC 2010, Tampere, September 29-30, 2010 Search on Bibsonomy SoC The full citation details ... 2010 DBLP  BibTeX  RDF
1Subayal Khan, Eila Ovaska, Kari Tiensyrjä, Jari Nurmi From Y-chart to seamless integration of application design and performance simulation. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Di Wu 0003, Johan Eilert, Dake Liu, Anders Nilsson 0001, Eric Tell, Eric Alfredsson System architecture for 3GPP LTE modem using a programmable baseband processor. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Faiz-ul Hassan, B. Cheng, Wim Vanderbauwhede, Fernando Rodríguez Salazar Impact of device variability in the communication structures for future synchronous SoC designs. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Tor Skeie, Frank Olaf Sem-Jacobsen, Samuel Rodrigo, José Flich, Davide Bertozzi, Simone Medardoni Flexible DOR routing for virtualization of multicore chips. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Volker Gierenz, Christian Panis, Jari Nurmi Physical realization oriented area-power-delay tradeoff exploration. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Haitham Habli, Johan Lilius, Johan Ersfolk Analysis of memory access optimization for motion compensation frames in MPEG-4. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Davide Rossi, Fabio Campi, Antonio Deledda, Claudio Mucci, Stefano Pucillo, Sean Whitty, Rolf Ernst, Stéphane Chevobbe, Stéphane Guyetant, Matthias Kühnle, Michael Hübner 0001, Jürgen Becker 0001, Wolfram Putzke-Röming A multi-core signal processor for heterogeneous reconfigurable computing. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Ewerson Carvalho, César A. M. Marcon, Ney Calazans, Fernando Moraes 0001 Evaluation of static and dynamic task mapping algorithms in NoC-based MPSoCs. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Mihkel Tagel, Peeter Ellervee, Gert Jervan Scheduling framework for real-time dependable NoC-based systems. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Heikki Kariniemi, Jari Nurmi Fault-tolerant communication over Micronmesh NOC with Micron Message-Passing protocol. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Heikki Orsila, Erno Salminen, Timo D. Hämäläinen Parameterizing simulated annealing for distributing Kahn Process Networks on multiprocessor SoCs. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Marco Lattuada 0001, Christian Pilato, Antonino Tumeo, Fabrizio Ferrandi Performance modeling of parallel applications on MPSoCs. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Sohaib Majzoub, Resve A. Saleh, Steven J. E. Wilton, Rabab Ward Simultaneous PVT-tolerant voltage-island formation and core placement for thousand-core platforms. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Sanna Määttä, Leandro Soares Indrusiak, Luciano Ost, Leandro Möller, Manfred Glesner, Fernando Gehm Moraes, Jari Nurmi Characterising embedded applications using a UML profile. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Joël Porquet, Christian Schwarz, Alain Greiner Multi-compartment: A new architecture for secure co-hosting on SoC. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Zhenyu Tu, Meng Yu 0002, Daniel Iancu, Mayan Moudgill, John Glossner On the performance of 3GPP LTE baseband using SB3500. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Wei Song 0002, Doug A. Edwards Building asynchronous routers with independent sub-channels. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Stefan Kraemer, Rainer Leupers, Dietmar Petras, Thomas Philipp A checkpoint/restore framework for systemc-based virtual platforms. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Behnam Ghavami, Hamid R. Zarandi, Arezoo Salarpour, Hossein Pedram Diagnosis of faults in template-based asynchronous circuits. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Eric P. Kim, Rami A. Abdallah, Naresh R. Shanbhag Soft NMR: Exploiting statistics for energy-efficiency. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Aleksandar Milutinovic, Kees Goossens, Gerard J. M. Smit Dynamic workload peak detection for slack management. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Kshitij Bhardwaj, Rabindra Ku Jena Energy and bandwidth aware mapping of IPs onto regular NoC architectures using Multi-Objective Genetic Algorithms. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1David Szczesny, Anas Showk, Sebastian Hessel, Attila Bilgic, Uwe Hildebrand, Valerio Frascolla Performance analysis of LTE protocol processing on an ARM based mobile platform. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Nazrul Anuar, Yasuhiro Takahashi, Toshikazu Sekine Two phase clocked adiabatic static CMOS logic. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1 2008 IEEE International Symposium on System-on-Chip, SOC 2009, Tampere, Finland, October 6-7, 2008 Search on Bibsonomy SoC The full citation details ... 2009 DBLP  BibTeX  RDF
1Piia Saastamoinen, Jari Nurmi, Ilkka Saastamoinen, Mikko Laiho Minimizing area costs in GPS applications on a programmable DSP by code compression. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Dragomir Milojevic, Riko Radojcic, Roger Carpenter, Pol Marchal Pathfinding: A design methodology for fast exploration and optimisation of 3D-stacked integrated circuits. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Jari Nikara, Eero Aho, Petri A. Tuominen, Kimmo Kuusilinna Performance analysis of multi-channel memories in mobile devices. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1David Kammler, Bastian Bauwens, Ernst Martin Witte, Gerd Ascheid, Rainer Leupers, Heinrich Meyr, Anupam Chattopadhyay Automatic generation of memory interfaces. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Arnaldo Azevedo, Ben H. H. Juurlink An efficient software cache for H.264 motion compensation. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Paul Edward McKechnie, Michaela Blott, Wim Vanderbauwhede Automated instrumentation of FPGA-based systems for system-level transaction monitoring. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Fabio Campi, Ralf König 0001, Michael Dreschmann, M. Neukirchner, Damien Picard, M. Jüttner, Eberhard Schüler, Antonio Deledda, Davide Rossi, Alberto Pasini, Michael Hübner 0001, Jürgen Becker 0001, Roberto Guerrieri RTL-to-layout implementation of an embedded coarse grained architecture for dynamically reconfigurable computing in systems-on-chip. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Chris Rowen, Peter R. Nuth, Stuart Fiske A DSP architecture optimized for wireless baseband. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Fabio Garzia, Roberto Airoldi, Jari Nurmi, Carmelo Giliberto, Claudio Brunelli Mapping of the FFT on a reconfigurable architecture targeted to SDR applications. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Samuel Rodrigo, Carles Hernández 0001, José Flich, Federico Silla, José Duato, Simone Medardoni, Davide Bertozzi, Andres Mejia, Donglai Dai Yield-oriented evaluation methodology of network-on-chip routing implementations. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Matthias Eireiner, Doris Schmitt-Landsiedel, Paul Wallner, Andreas Schöne, Stephan Henzler, Ulrich Fiedler Adaptive circuit block model for power supply noise analysis of low power system-on-chip. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Guillermo Payá Vayá, Javier Martín-Langerwerf, Florian Giesemann, Holger Blume, Peter Pirsch Instruction merging to increase parallelism in VLIW architectures. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Erno Salminen, Ari Kulmala, Timo Hämäläinen 0001 On the credibility of load-latency measurement of network-on-chips. Search on Bibsonomy SoC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Heikki Hurskainen, Jussi Raasakka, Jari Nurmi Specification of GNSS application for multiprocessor platform. Search on Bibsonomy SoC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 360 (100 per page; Change: )
Pages: [1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license