The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "VLSI"( http://dblp.L3S.de/Venues/VLSI )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ifip10-5

Publication years (Num. hits)
1991 (50) 1992-1993 (37) 1994-1999 (57) 2000-2003 (63)
Publication types (Num. hits)
inproceedings(203) proceedings(4)
Venues (Conferences, Journals, ...)
VLSI(207)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 207 publication records. Showing 207 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1YunKyung Lee, YoungSu Park High Speed, Small Area AES Block Cipher Coprocessor Design for USIM Card. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Yiming Li 0005, Shao-Ming Yu, Hsiao-Mei Lu Intelligent Device Parameter Extraction for Nanoscale MOSFETs Era. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Mitra Mirhassani, Majid Ahmadi, William C. Miller A Feed-Forward Time-Multiplexed Neural Network with Mixed-Signal Neuron-Synapse Arrays. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1J. K. Kim, S. H. Won, Ki-Seok Chung, H. D. Cho, T. W. Kang, T. S. Nam, C. S. Kang, C. H. Yi, D. S. Kim Properties of A1/BaTa2O6/GaN MIS Structure. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Yil Suk Yang, Jongdae Kim, Tae Moon Roh, Dae Wood Lee, Sung-Ku Kwon, Il Yong Park, Byoung Gon Yu Level Shifter Circuit Having Dual Outputs for FPD Gate Driver. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Shih-Ching Lo, Jyun-Hwei Tsai, Jer-Ming Hsu, Yiming Li Quantum Mechanical Gate Current Simulation in MOSFETs with Ultrathin Oxides. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Sumeer Goel, Mohamed A. Elgamel, Magdy A. Bayoumi Energy Efficient and Noise-Tolerant XOR-XNOR Circuit Design. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Jae-Young Yi, Yong-Hui Lee, Cheon-Hee Yi PEDE (Plasma Edge Damage Effect) Curing by Various Heat Treatment. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Thomas Eschbach, Wolfgang Günther 0001, Bernd Becker 0001 Cross Reduction for Orthogonal Circuit Visualization. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Scott F. Smith 0002 The Advanced Encryption Standard on an Asynchronous Shared-Memory Multiprocessor. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Deshanand P. Singh, Terry P. Borer, Stephen Dean Brown Automated Extraction of Physical Hierarchies for Performance Improvement on Programmable Logic Devices. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Keun Soo Yim, Kern Koh, Hyokyung Bahn A Compressed Page Management Scheme for NAND-Type Flash Memory. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Noboru Watanabe Foundation of Quantum Capacity. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Gene Eu Jan, Chiou-Min Shen, Shao-Wei Leu, Cheng-Hung Li The Design and Analysis of an Elliptic Curve Cryptosystem. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Scott C. Smith Completion-Completeness for NULL Convention Digital Circuits Utilizing the Bit-Wise Completion Strategy. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Hirotsuga Kajisaki, Takakazu Kurokawa SEBSW-2: SEcret-Key Block Cipher SWitcher. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Ali Telli, Simsek Demir, Murat Askar Planar Spiral Inductor Modeling for RFIC Design. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Mitchell J. Myjak, José G. Delgado-Frias A Two-Level Reconfigurable Architecture for Digital Signal Processing. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Jie Han 0001, Pieter Jonker A Study on Fault-Tolerant Circuits Using Redundancy. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Manfred Schimmler, Bertil Schmidt, Hans-Werner Lang, Sven Heithecker An Area-Efficient Bit-Serial Integer Multiplier. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Fred L. Anderson IV, José G. Delgado-Frias A Reconfigurable Switch for a DSP Array. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Jaime Ramírez-Angulo, Chandrika Durbha, Gladys Omayra Ducoudray, Ramón González Carvajal Highly Linear Wide Input Range CMOS OTA Architectures Operating in Subthreshold and Strong Inversion. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Adnan M. Lokhandwala, Sudip K. Mazumder A Novel Smart Power ASIC (SPIC) for Integrated Control of Cascaded Power Converters. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1X. Zhang, Gabriel Dragffy, Anthony G. Pipe Bio-Inspired Reconfigurable Architecture for Reliable Systems. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Nathaniel Bird, Ethan S. Miller, Paul J. Pfeiffer, Srinivasa Vemuru Channel Routing with Crosstalk Consideration. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Luigi Accardi, Masanori Ohya A Stochastic Limit Approach to the SAT Problem. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Kang Hyeon Rhee A Study on the 8bit Pipeline RISC Processor. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Rita M. Hare, Bryant A. Julstrom A Genetic Algorithm for Restricted Cases of the Rectilinear Steiner Problem with Obstacles. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Todd W. Neller, David C. Hettlinger Learning Annealing Schedules for Channel Routing. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Suleyman Tosun, Hakduran Koc, Nazanin Mansouri Derving Intermediary RTLs for Verification of Pipelined Synthesized Designs. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Vishal Verma, Himanshu Thapliyal A High Speed Efficient N x N Bit Multiplier Based on Ancient Indian Vedic Mathematics. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Jam Wem Lee, Yiming Li, Howard Tang Silicide Optimization for Electrostatic Discharge Protection Devices in Sub-100 nm CMOS Circuit Design. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Daniel R. Blum, José G. Delgado-Frias A Fault-Tolerant Memory-Based Cell for a Reconfigurable DSP Processor. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Andris Ambainis, Uldis Barbans, Agnese Belousova, Aleksandrs Belovs, Ilze Dzelme, Girts Folkmanis, Rusins Freivalds, Peteris Ledins, Rihards Opmanis, Agnis Skuskovniks Size of Quantum Versus Deterministic Finite Automata. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Amardeep Singh Quantum Search Algorithm for Automated Test Pattern Generation in VLSI Testing. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Hamid R. Arabnia, Laurence Tianruo Yang (eds.) Proceedings of the International Conference on VLSI, VLSI '03, June 23 - 26, 2003, Las Vegas, Nevada, USA Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Gene Eu Jan, Lokar J. Y. Lin, W. R. Liou, Y. Y. Chen The Design and Implementation of a 2048-Bit RSA Encryption/Decryption Chip. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1L. Kalyan Kumar, Aditya S. Ramani, Amol J. Mupid, V. Kamakoti 0001, Sivaprakasam Suresh On-Line Location of Multiple Faults in LUT Based Reconfigurable Systems. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Christian Panis, Gunther Laure, Wolfgang Lazian, Herbert Grünbacher, Jari Nurmi A Branch File for a Configurable DSP Core. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Hoon Na, Dae-Gwon Jeong MPEG-4 HVXC Real-Time Implementation on Floating Point DSP. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Satoshi Ikeda, Izumi Kubo, Masafumi Yamashita Reducing the Hitting and the Cover Times of Random Walks on Finite Graphs by Local Topological Information. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Hussain Al-Asaad, Alireza Sarvi Fault Tolerance for Multiprocessor Systems Via Time Redundant Task Scheduling. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Seung Wook Lee, Jong Tae Kim Universal Reed-Solomon Decoder Using Hardware/Software Co-Design Method. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Janet Meiling Wang, Pinhong Chen, Omar Hafiz Switching Windows Computation in Presence of Crosstalk Noise. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Ling Wang 0004, Yingtao Jiang, Henry Selvaraj Scheduling and Optimal Voltage Selection with Multiple Supply Voltages under Resource Constraints. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Deshanand P. Singh, Stephen Dean Brown An Area-Efficient Timing Closure Technique for FPGAs Using Shannon's Expansion. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Sankalp Kallakuri, Alex Doboli, Simona Doboli Applying Stochastic Modeling to Bus Arbitration for Network-On-Chip Systems. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Naresh Sarwabhotla, Arthi Kothandaraman A Power-Efficient Level Converter Design For Multi-Supply Voltage CMOS Analog Integrated Circuits. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Bhupen P. Zaveri Phase Coincidence Technique for Frequency Difference Measurement. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Satish K. Bandapati, Scott C. Smith Design and Characterization of NULL Convention Arithmetic Logic Units. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Volnei A. Pedroni High-Resolution WTA-MAX Circuit for Large Networks. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Anilkumar Patro, Ashish Mishra Lower Power Processor Design Issues. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Manfred Schimmler, Viktor Bunimov A Simple Circuit to Reduce the Search Range for Large Prime Numbers. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Jiyi Gu, Majid Ahmadi, William C. Miller A Low-Voltage Low-Power Digital-Audio Sigma-Delta Modulator in 0.18-µm CMOS. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Robert Chun, Linda Yang 0001 Reuse of Firmware Tests in System-On-Chip Design Verification. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Arifur Rahman Models for Full-Chip Power Dissipation in Field Programmable Gate Arrays and the Impact of Subthreshold Leakage Current. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Khia-Ho Chang, Bah-Hwee Gwee, Joseph Sylvester Chang A Low Voltage Micropower 16-Word by 16-Bit 3-Port Asynchronous Register File. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Jaime Ramírez-Angulo, Shanta Thoutam, Gladys Omayra Ducoudray, Ramón González Carvajal A New Power Efficient Fully Differential Low-Voltage Two Stage OP-AMP Architecture. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Lelde Lace, Rusins Freivalds Lower Bounds for Query Complexity of Some Graph Problems. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Srinivasa Vemuru Simultaneous Switching Noise Estimation Including the Effects of the Driving Transistor Gate-Source Capacitance. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Evandro de Araújo Jardini, Dilvan de Abreu Moreira Multithreaded parallel VLSI Leaf Cell Generator Using Agents 2. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1Youngsoo Kim, Janghong Yoon, Sungok Kim An Improved Circuit Design for Parallel Sequence Generation. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
1L. Miguel Silveira, Srinivas Devadas, Ricardo Augusto da Luz Reis (eds.) VLSI: Systems on a Chip, IFIP TC10/WG10.5 Tenth International Conference on Very Large Scale Integration (VLSI '99), December 1-4, 1999, Lisbon, Portugal Search on Bibsonomy VLSI The full citation details ... 2000 DBLP  BibTeX  RDF
1Maria-Cristina V. Marinescu, Martin C. Rinard A Synthesis Algorithm for Modular Design of Pipelined Circuits. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1J. Soares Augusto, C. F. Beltrá Almeida FASTNR: an Efficient Fault Simulator for Linear and Nonlinear DC Circuits. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Rolf Drechsler, Wolfgang Günther 0001 History-Based Dynamic Minimization During BDD Construction. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Atsushi Iwata, Makoto Nagata, Hiroyuki Nakamoto, Noriaki Takeda, Mitsuru Homma, Hiroto Higashi, Takashi Morie A Feature Associative Processor for Image Recognition Based on A-D merged Architecture. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1João M. S. Alcântara, Sergio C. Salomão, Edson do Prado Granja, Vladimir Castro Alves, Felipe M. G. França Synchronous to Asynchronous Conversion - A Case Study: the Blowfish Algorithm Implementation. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Bogdan Tutuianu, Daksh Lehther, Madhulima Pandey, Ross Baldick Efficient RLC Macromodels for Digital IC Interconnect. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1João M. P. Cardoso, Horácio C. Neto An Enhanced Static-List Scheduling Algorithm for Temporal Partitioning onto RPUs. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Fernando Moraes 0001, Michel Robert, Daniel Auvergne A Virtual CMOS Library Approach for East Layout Synthesis. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Marcio Yukio Teruya, Marius Strum, Jiang Chau Wang Architectural Transformations for Hierarchical Algorithmic Descriptions. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Nasser Masoumi, Mohamed I. Elmasry, Safieddin Safavi-Naeini A Fast Parametric Model for Contact-Substrate Coupling. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Rui L. Aguiar, Dinis M. Santos Clock Distribution Strategy for IP-based Development. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Franz Sischka Device Modeling and Measurement for RF Systems. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Christophe Jégo, Emmanuel Casseau, Eric Martin 0001 Architectural Synthesis with Interconnection Cost Control. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Joonyoung Kim, João Marques-Silva 0001, Karem A. Sakallah Satisfiability-Based Functional Delay Fault Testing. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Camille Diou, Lionel Torres, Michel Robert Implementation of a Wavelet Transform Architecture for Image Processing. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1R. Lerch, Manfred Kaltenbacher, H. Landes CAE Environment for Electromechanical Microsystems. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Stefan Thomas Obenaus, Ted H. Szymanski Placements Benchmarks for 3-D VLSI. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Raimund Ubar, Dominique Borrione Design Error Diagnosis in Digital Circuits without Error Model. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Rainer Brück 0001, Andreas Priebe, Kai Hahn Cost Consideration for Application Specific Microsystems Physical Design Stages - A New Approach for Microtechnological Process Design. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Abdellah Touhafi, Wouter Brissinck, Erik F. Dirkx Scalable Run Time Reconfigurable Architecture. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Fernanda Lima 0001, Marcelo O. Johann, José Luís Almada Güntzel, Eduardo D'Avila, Luigi Carro, Ricardo Augusto da Luz Reis Designing a Mask Programmable Matrix for Sequential Circuits. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Bingxin Li, Hannu Tenhunen A Design of Operational Amplifier for Sigma Delta Modulators Using 0.35um CMOS Process. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1A. M. Rassau, Geoffrey Alagoda, David Lucas, J. Austin-Crowe, Kamran Eshraghian Massively Parallel Intelligent Pixel Implementation of a Zerotree Entropy Video Codec for Multimedia Communications. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1R. V. K. Pillai, Dhamin Al-Khalili, Asim J. Al-Khalili An IEEE Compliant Floating Point MAF. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Flávio Rech Wagner, Márcio Oyamada, Luigi Carro, Márcio Eduardo Kreutz Object-Oriented Modeling and Co-Simulation of Embedded Systems. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Bartlomiej F. Romanowicz, M. Hasan Zaman, S. F. Bart, V. L. Rabinovich, I. Tchertkov, C. Hsu, John R. Gilbert A Methodology and Associated CAD Tools for Support of Concurrent Design of MEMS. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Yue Wu, Hong-sun Kim, Fredrik Jonsson, Mohammed Ismail 0001, Håkan K. Olsson Nonlinearity Analysis of a Short Channel CMOS Circuit for RFIC Applications. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Tomohiro Yoneda Verification of Abstracted Instruction Cache of TITAC2: A Case Study. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Andreas Kirschbaum, Jürgen Becker 0001, Manfred Glesner ILP-Based Board-Level Routing of Multi-Terminal Nets for Prototyping Reconfigurable Interconnect. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1S. Raimbault, Gilles Sassatelli, Gamille Cambon, Michel Robert, Sébastien Pillement, Lionel Torres Embedded Systems Design And Verification: Reuse Oriented Prototyping Methodologies. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Luca P. Carloni, Evguenii I. Goldberg, Tiziano Villa, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Aura II: Combining Negative Thinking and Branch-and-Bound in Unate Covering Problems. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1James C. Hoe, Arvind Hardware Synthesis from Term Rewriting Systems. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Geoffrey B. Jackson, Saleel V. Awsare, Lawrence D. Engh, Mark A. Hemming, Peter Holzmann, Oliver C. Kao, Chun Mai-Liu, Carl R. Palmer, Aditya Raina An Analog Non-Volatile Storage System for Audio Signals with Signal Conditioning for Mobile Communication Devices. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1David H. Albonesi An Architectural and Circuit-Level Approach to Improving the Energy Efficiency of Microprocessor Memory Structures. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Joel R. Phillips, Dan Feng Trends in RF Simulation Algorithms. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Tom Chen 0001, Isabelle Munn, Anneliese von Mayrhauser, Amjad Hajjar Efficient Verification of Behavioral Models Using Sequential Sampling Technique. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
1Edoardo Charbon, Joel R. Phillips Substrate Noise: Analysis, Models, and Optimization. Search on Bibsonomy VLSI The full citation details ... 1999 DBLP  BibTeX  RDF
Displaying result #1 - #100 of 207 (100 per page; Change: )
Pages: [1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license