The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "WMPI"( http://dblp.L3S.de/Venues/WMPI )

URL (DBLP): http://dblp.uni-trier.de/db/conf/wmpi

Publication years (Num. hits)
2004 (20)
Publication types (Num. hits)
inproceedings(19) proceedings(1)
Venues (Conferences, Journals, ...)
WMPI(20)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 37 occurrences of 36 keywords

Results
Found 20 publication records. Showing 20 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1John B. Carter, Lixin Zhang 0002 (eds.) Proceedings of the 3rd Workshop on Memory Performance Issues, in conjunction with the 31st International Symposium on Computer Architecture 2004, Munich, Germany, June 20, 2004 Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  BibTeX  RDF
1Chikafumi Takahashi, Masaaki Kondo, Taisuke Boku, Daisuke Takahashi, Hiroshi Nakamura, Mitsuhisa Sato SCIMA-SMP: on-chip memory processor architecture for SMP. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Lars Wehmeyer, Urs Helmig, Peter Marwedel Compiler-optimized usage of partitioned memories. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Erik G. Hallnor, Steven K. Reinhardt A compressed memory hierarchy using an indirect index cache. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Chitra Natarajan, Bruce Christenson, Faye A. Briggs A study of performance impact of memory controller features in multi-processor server environment. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF memory transaction scheduling, server systems, multi-processors, memory controller, memory subsystem, performance impact
1José González 0002, Fernando Latorre, Antonio González 0001 Cache organizations for clustered microarchitectures. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF clustering, memory hierarchy, steering, cache organization
1Magnus Ekman, Per Stenström A case for multi-level main memory. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF memory-systems
1Stephen Somogyi, Thomas F. Wenisch, Nikolaos Hardavellas, Jangwoo Kim, Anastassia Ailamaki, Babak Falsafi Memory coherence activity prediction in commercial workloads. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF coherence misses, coherence prediction, sharing patterns, trace-based prediction, commercial workloads
1Doron Nakar, Shlomo Weiss Selective main memory compression by identifying program phase changes. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Marco Galluzzi, Ramón Beivide, Valentin Puente, José-Ángel Gregorio, Adrián Cristal, Mateo Valero Evaluating kilo-instruction multiprocessors. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ROB, shared-memory multiprocessors, CC-NUMA, memory wall, instruction window, kilo-instruction processors
1Irina Chihaia, Thomas R. Gross An analytical model for software-only main memory compression. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1G. Surendra, Subhasis Banerjee, S. K. Nandy 0001 On the effectiveness of prefetching and reuse in reducing L1 data cache traffic: a case study of Snort. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cache traffic, intrusion detection, pattern matching, network processor, instruction reuse
1Steven T. Gabriel, David S. Wise The Opie compiler from row-major source to Morton-ordered matrices. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cache, scientific computing, paging, quadtrees
1Onur Mutlu, Hyesoon Kim, David N. Armstrong, Yale N. Patt Understanding the effects of wrong-path memory references on processor performance. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF processor performance analysis, wrong path modeling, wrong-path memory references, speculative execution, data prefetching, execution-driven simulation, cache pollution
1Wolfgang Raab, Hans-Martin Blüthgen, Ulrich Ramacher A low-power memory hierarchy for a fully programmable baseband processor. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF baseband processor, multi-tasked processor, task interleaving, memory hierarchy, low-power memory
1Ramesh V. Peri, John Fernando, Ravi K. Kolagotla Addressing mode driven low power data caches for embedded processors. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Jay B. Brockman, Shyamkumar Thoziyoor, Shannon K. Kuntz, Peter M. Kogge A low cost, multithreaded processing-in-memory system. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF PIM, processing-in-memory
1Muhamed F. Mudawar Scalable cache memory design for large-scale SMT architectures. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scalable multiported cache memory, simultaneous multithreaded architectures
1Collin McCurdy, Charles N. Fischer A localizing directory coherence protocol. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF parallel computation, distributed memory architectures, shared memory architectures, irregular computation
1Faye A. Briggs, Suresh Chittor, Kai Cheng Micro-architecture techniques in the intel E8870 scalable memory controller. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF distributed coherency, transaction flows, scalability, memory latency
Displaying result #1 - #20 of 20 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license