The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for microprocessor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1975 (36) 1976 (26) 1977 (56) 1978 (42) 1979 (45) 1980 (48) 1981 (37) 1982 (67) 1983 (51) 1984 (53) 1985 (39) 1986 (46) 1987 (52) 1988 (65) 1989 (46) 1990 (45) 1991 (40) 1992 (30) 1993 (36) 1994 (67) 1995 (73) 1996 (66) 1997 (107) 1998 (122) 1999 (114) 2000 (156) 2001 (119) 2002 (176) 2003 (205) 2004 (233) 2005 (233) 2006 (233) 2007 (259) 2008 (221) 2009 (135) 2010 (95) 2011 (60) 2012 (69) 2013 (68) 2014 (57) 2015 (56) 2016 (51) 2017 (39) 2018 (43) 2019 (48) 2020 (30) 2021 (45) 2022 (28) 2023 (25) 2024 (4)
Publication types (Num. hits)
article(1239) book(14) incollection(22) inproceedings(2766) phdthesis(39) proceedings(17)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2784 occurrences of 1319 keywords

Results
Found 4097 publication records. Showing 4097 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
31Keith A. Bowman, James W. Tschanz, Shih-Lien Lu, Paolo A. Aseron, Muhammad M. Khellah, Arijit Raychowdhury, Bibiche M. Geuskens, Carlos Tokunaga, Chris Wilkerson, Tanay Karnik, Vivek De Resilient microprocessor design for high performance & energy efficiency. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF resilient design
31Uwe Brinkschulte, Daniel Lohn, Mathias Pacher Towards a Statistical Model of a Microprocessor's Throughput by Analyzing Pipeline Stalls. Search on Bibsonomy SEUS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Frederik Vandeputte, Lieven Eeckhout Finding Stress Patterns in Microprocessor Workloads. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Hisashige Ando, Ryuji Kan, Yoshiharu Tosaka, Keiji Takahisa, Kichiji Hatanaka Validation of hardware error recovery mechanisms for the SPARC64 V microprocessor. Search on Bibsonomy DSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Liang-Bi Chen, Yung-Chih Liu, Chen-Hung Chen, Chung-Fu Kao, Ing-Jer Huang Parameterized embedded in-circuit emulator and its retargetable debugging software for microprocessor/microcontroller/DSP processor. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Venkatesh Arunachalam, Wayne P. Burleson Low-power clock distribution in a multilayer core 3d microprocessor. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 3D ic's, 3D processor architectures, clock grids
31Michail Maniatakos, Naghmeh Karimi, Yiorgos Makris, Abhijit Jas, Chandra Tirumurti Design and Evaluation of a Timestamp-Based Concurrent Error Detection Method (CED) in a Modern Microprocessor Controller. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Syed Zafar Shazli, Mehdi Baradaran Tahoori Obtaining Microprocessor Vulnerability Factor Using Formal Methods. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Michalis D. Galanis, Grigoris Dimitroulakos, Costas E. Goutis Exploring the speedups of embedded microprocessor systems utilizing a high-performance coprocessor data-path. Search on Bibsonomy J. Supercomput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Coprocessor data-path, Template units, Performance, Synthesis, Kernels, Design flow, Chaining
31Love Kothari, Nicholas P. Carter Architecture of a Self-Checkpointing Microprocessor that Incorporates Nanomagnetic Devices. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF memory technologies, low-power design, Emerging technologies
31Deepak Mathaikutty, Sandeep K. Shukla, Sreekumar V. Kodakara, David J. Lilja, Ajit Dingankar Design fault directed test generation for microprocessor validation. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Albert Meixner, Daniel J. Sorin Unified microprocessor core storage. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF unified caching, resource allocation, microarchitecture, power-efficiency
31Balaji Vaidyanathan, Wei-Lun Hung, Feng Wang 0004, Yuan Xie 0001, Narayanan Vijaykrishnan, Mary Jane Irwin Architecting Microprocessor Components in 3D Design Space. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Fu-Ching Yang, Wen-Kai Huang, Ing-Jer Huang Automatic Verification of External Interrupt Behaviors for Microprocessor Design. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Michalis D. Galanis, Gregory Dimitroulakos, Costas E. Goutis Performance Improvements in Microprocessor Systems Utilizing a Copressor Data-Path. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Jin Yang 0006 Verification Challenges and Opportunities in the New Era of Microprocessor Design. Search on Bibsonomy ATVA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Rodrigo Possamai Bastos, Fernanda Lima Kastensmidt, Ricardo Reis 0001 Design of a Robust 8-Bit Microprocessor to Soft Errors. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Murari Mani, Mahesh Sharma, Michael Orshansky Application of fast SOCP based statistical sizing in the microprocessor design flow. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Kotaro Shimamura, Takeshi Takehara, Yosuke Shima, Kunihiko Tsunedomi A Single-Chip Fail-Safe Microprocessor with Memory Data Comparison Feature. Search on Bibsonomy PRDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Tun Li, Dan Zhu, Yang Guo 0003, GongJie Liu, Sikun Li MA2TG: A Functional Test Program Generator for Microprocessor Verification. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Tun Li, Dan Zhu, Lei Liang, Yang Guo 0003, Sikun Li Automatic functional test program generation for microprocessor verification. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Ioannis Panagopoulos, Christos Pavlatos, George K. Papakonstantinou A hardware extension of the RISC microprocessor for Attribute Grammar evaluation. Search on Bibsonomy SAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF RISC microprocessors, Attribute Grammars, declarative programs
31Fulvio Corno, Gianluca Cumani, Matteo Sonza Reorda, Giovanni Squillero Fully Automatic Test Program Generation for Microprocessor Cores. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Mountassar Maamoun, Abdelhalim Benbelkacem, Daoud Berkani, Abderrezak Guessoum Interfacing in Microprocessor-based Systems with a Fast Physical Addressing. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Software/hardware System, Fast Physical Addressing, Interfacing, DMA
31Manish Amde, Ivan Blunno, Christos P. Sotiriou Automating the design of an asynchronous DLX microprocessor. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF DLX, asynchronous, design flow
31Bob Bentley alidating the Intel® Pentium® 4 Microprocessor. Search on Bibsonomy DSN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Paul Kartschoke, Stephen F. Geissler Timing Driven Wiring on an Advanced Microprocessor. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Li-C. Wang, Magdy S. Abadir Test Generation Based on High-Level Assertion Specification for PowerPCTM Microprocessor Embedded Arrays. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF high-level test generation, assertion test generation, design validation, logic verification, symbolic trajectory evaluation
31Leland L. Day, Paul A. Ganfield, Dennis M. Rickert, Fred J. Ziegler Test methodology for a microprocessor with partial scan. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
31Tsang-Ling Sheu, Yuan-Bao Shieh, Woei Lin The selection of optimal cache lines for microprocessor-based controllers. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
31Alan J. Weissberger Keeping pace with a single-chip 16-bit microprocessor. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1975 DBLP  DOI  BibTeX  RDF
30Paolo Bernardi, Michelangelo Grosso, Maurizio Rebaudengo, Matteo Sonza Reorda Exploiting an I-IP for both Test and Silicon Debug of Microprocessor Cores. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Iman Faraji, Moslem Didehban, Hamid R. Zarandi Analysis of Transient Faults on a MIPS-Based Dual-Core Processor. Search on Bibsonomy ARES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Dual-core microprocessor, Microprocessor without Interlocked Pipeline Stages (MIPS), simulation-based fault injection, vulnerability analysis, fault propagation
26Soo-Mook Moon, Scott D. Carson Generalized Multiway Branch Unit for VLIW Microprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF generalized multiway branching, VLIW microprocessor, condition tree, mirror normalization, VLIW compiler, Instruction-level parallelism, superscalar microprocessor
26Walter A. Helbig, Veljko M. Milutinovic A DCFL E/D-MESFET GaAs Experimental RISC Machine. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF RCA, DCFL E/D-MESFET, RISC machine, GaAs microprocessor, instruction execution sequence, III-V semiconductors, microprocessor chips, instruction set architecture, software environment, reduced instruction set computing, 32 bit, field effect integrated circuits, gallium arsenide
26Terry J. Fountain, K. N. Matthews, Michael J. B. Duff The CLIP7A Image Processor. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF CLIP7A, image-processing chip, 16 bit, computer vision, computer vision, computerised picture processing, computerised picture processing, microprocessor chip, microprocessor chips, data processing, 8 bit
25Jian Shen, Jacob A. Abraham An RTL Abstraction Technique for Processor Microarchitecture Validation and Test Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF microprocessor design validation, coverage measurement, test generation
25A. Pavlov, Jean-Luc Béchennec, Daniel Etiemble Performance evaluation of the memory hierarchy of a desktop PC using commodity chips with specific traces. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF memory hierarchy simulation, desktop PC, commodity chips, PC microcomputers, synthetic bus traces, dynamically scheduled superscalar microprocessor, performance evaluation, memory architecture
25Robert B. Jones, David L. Dill, Jerry R. Burch Efficient validity checking for processor verification. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF formal verification, validation, decision procedure, uninterpreted functions, microprocessor architecture
25G. Rothbart, R. Fullwood, H. O. Conde Automatic data acquisition and processing of train deceleration for rapid transit train systems. Search on Bibsonomy ACM Annual Conference (2) The full citation details ... 1978 DBLP  DOI  BibTeX  RDF Microprocessor monitor, Rapid transit system, Data acquisition
25Michail Maniatakos, Naghmeh Karimi, Chandra Tirumurti, Abhijit Jas, Yiorgos Makris Instruction-Level Impact Analysis of Low-Level Faults in a Modern Microprocessor Controller. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2011 DBLP  DOI  BibTeX  RDF instruction-level error, microprocessor controller, Fault simulation, concurrent error detection
25Naghmeh Karimi, Michail Maniatakos, Abhijit Jas, Chandra Tirumurti, Yiorgos Makris Workload-Cognizant Concurrent Error Detection in the Scheduler of a Modern Microprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2011 DBLP  DOI  BibTeX  RDF scheduler, microprocessor, invariance, Concurrent error detection
25Klaus-Dietrich Kramer, Thomas Stolze, Alexander Oppelt Microprocessor Benchmarks - A Detailed Look at Techniques, Problems and Solutions. Search on Bibsonomy ICSEng The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Performance Assessment and Rating, Runtime Measurement, Benchmarks, Microprocessor, Microcontroller
25Lingkan Gong, Jingfen Lu Verification-Purpose Operating System for Microprocessor System-Level Functions. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF microprocessor verification, system-level function, Verification-Purpose Operating System, VPOS, FPGA, design and test
25Amol Vasudeva, Arvind Kumar Sharma, Ashish Kumar Saksham: Customizable x86 Based Multi-Core Microprocessor Simulator. Search on Bibsonomy CICSyN The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Microprocessor Simulator, x86 Architecture Simulator, Register/Instruction Set Simulator, Object oriented, Assembler
25Cecilia Metra, Daniele Rossi 0001, Martin Omaña 0001, Abhijit Jas, Rajesh Galivanche Function-Inherent Code Checking: A New Low Cost On-Line Testing Approach for High Performance Microprocessor Control Logic. Search on Bibsonomy ETS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF microprocessor, error detecting codes, on-line testing, control logic
25Emmanuel Touloupis, James A. Flint, Vassilios A. Chouliaras, David D. Ward Study of the Effects of SEU-Induced Faults on a Pipeline Protected Microprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault modeling and simulation, fault tolerance, fault injection, soft error, SEU, microprocessor test
25Qiang Wu, Margaret Martonosi, Douglas W. Clark, Vijay Janapa Reddi, Dan Connors, Youfeng Wu, Jin Lee, David M. Brooks Dynamic-Compiler-Driven Control for Microprocessor Energy and Performance. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF microprocessor, energy savings, Dynamic-compilation
25Scott Davidson 0001 An insider's look at microprocessor design. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Pentium Pro, management, Intel, microprocessor design
25Michael J. Flynn, Patrick Hung Microprocessor Design Issues: Thoughts on the Road Ahead. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SIA, performance consideration, reliability, Power, SoC designs, microprocessor design, process technology
25Lieh-Ming Wu, Kuochen Wang, Chuang-Yi Chiu A BNF-based automatic test program generator for compatible microprocessor verification. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Advanced microprocessor, compatibility verification, top-down recursive descent parsing method, coverage, automatic program generator, BNF
25Cecilia Metra, T. M. Mak, Martin Omaña 0001 Fault secureness need for next generation high performance microprocessor design for testability structures. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF built in self test, design for testability, microprocessor, comparator, fault secureness
25Joachim G. Clabes, Joshua Friedrich, Mark Sweet, Jack DiLullo, Sam G. Chu, Donald W. Plass, James Dawson, Paul Muench, Larry Powell, Michael S. Floyd, Balaram Sinharoy, Mike Lee, Michael Goulet, James Wagoner, Nicole S. Schwartz, Stephen L. Runyon, Gary Gorman, Phillip J. Restle, Ronald N. Kalla, Joseph McGill, J. Steve Dodson Design and implementation of the POWER5 microprocessor. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF POWER5, simultaneous multi-threading (SMT), clock gating, power reduction, microprocessor design, temperature sensor
25Hisashige Ando, Yuuji Yoshida, Aiichiro Inoue, Itsumi Sugiyama, Takeo Asakawa, Kuniki Morita, Toshiyuki Muta, Tsuyoshi Motokurumada, Seishi Okada, Hideo Yamashita, Yoshihiko Satsukawa, Akihiko Konmoto, Ryouichi Yamashita, Hiroyuki Sugiyama A 1.3GHz fifth generation SPARC64 microprocessor. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF unix server, reliability, microprocessor, microarchitecture, SPARC, clock distribution
25Marco Antonio Dal Poz, Jose Edinson Aedo Cobo, Wilhelmus A. M. Van Noije, Marcelo Knörich Zuffo A Simple RISC Microprocessor Core Designed for Digital Set-Top-Box Applications. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA, VHDL, Reconfigurable Computing, Microprocessor, MPEG, RISC, Co-Design, Instruction Set, HDTV, Set-Top-Box, iDCT, cable TV
25Rajesh Raina, Robert F. Molyneaux Random Self-Test Method - Applications on PowerPC (tm) Microprocessor Caches. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF High-Level Design Validation, Silicon Validation, Pseudo-Random Testing, Microprocessor Testing
25Scott A. Taylor, Michael Quinn, Darren Brown, Nathan Dohm, Scot Hildebrandt, James Huggins, Carl Ramey Functional Verification of a Multiple-issue, Out-of-Order, Superscalar Alpha Processor - The DEC Alpha 21264 Microprocessor. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF 21264, coverage anaysis, verification, architecture, validation, microprocessor, pseudo-random, Alpha
25Mohammed Atiquzzaman, W. H. Shehadah A Microprocessor-Based Office Image Processing System-An Extension of Work. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF microprocessor-based office image processing system, compute-bound, I/O-bound state, image input time, computerised picture processing
25Luigi Ciminiera, Adriano Valenzano Authentication Mechanisms in Microprocessor-Based Local Area Networks. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF authentication mechanisms, microprocessor-based local area networks, unauthorized use, intruders, interface process, user-server connection, unauthorized requests, capability checking, iAPX432-based hosts, database, cache, searching, service, local area networks, security of data, identity, protect, server, hardware support, shared resources, parallel search, access rights, check, performance issues
25Kwok-Tung Fung, Hwa C. Torng On the Analysis of Memory Conflicts and Bus Contentions in a Multiple-Microprocessor System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF multiple-microprocessor system, interference, memory mapping, memory conflict, Bus contention
25Jan Van Campenhout, Paul H. Notredame A Stochastic Model for Closed-Loop Preemptive Microprocessor I/O Organizations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF Microprocessor systems, priority systems, performance analysis, stochastic modeling
25Marshall C. Pease III The Indirect Binary n-Cube Microprocessor Array. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF Admissible maps, microprocessor array, n-cube array, triangular permutations, virtual array, grid computations, parallel processing, fast Fourier transform, switching network, array processor, permutation network, parallel matrix multiplication
25Edward Chen, William A. Gruver, Dorian Sabaz, Lesley Shannon Facilitating Processor-Based DPR Systems for non-DPR Experts. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Smriti Bhatnagar, Richa Gupta, Kapil Kumar Singla Apparatus for Ensuring Seat Belt Usage and Checking Blood Alcohol Concentration. Search on Bibsonomy RAM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Cecilia Metra, Daniele Rossi 0001, T. M. Mak Won't On-Chip Clock Calibration Guarantee Performance Boost and Product Quality?. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault tolerance, Reliability, VLSI, testing
25Youngjin Cho, Naehyuck Chang Energy-Aware Clock-Frequency Assignment in Microprocessors and Memory Devices for Dynamic Voltage Scaling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Fred A. Bower, Daniel J. Sorin, Sule Ozev Online diagnosis of hard faults in microprocessors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Hard fault tolerance, fine-grained diagnosis, processor microarchitecture
25Djones Lettnin, Markus Winterholer, Axel G. Braun, Joachim Gerlach, Jürgen Ruf, Thomas Kropf, Wolfgang Rosenstiel Coverage Driven Verification applied to Embedded Software. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Nick Tredennick Computing in transition. Search on Bibsonomy HPRCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Fred A. Bower, Daniel J. Sorin, Sule Ozev A Mechanism for Online Diagnosis of Hard Faults in Microprocessors. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Sitaram Yadavalli, Sandip Kundu On Fault-Simulation Through Embedded Memories On Large Industrial Designs. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25William Cunningham, Steven E. Wixson Useful ideas for microcomputer operating systems. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 1979 DBLP  DOI  BibTeX  RDF
24Jonathan Owen, Maurice Steinman Northbridge Architecture of AMD's Griffin Microprocessor Family. Search on Bibsonomy IEEE Micro The full citation details ... 2008 DBLP  DOI  BibTeX  RDF data communications devices, low-power design, power management, processors, I/O and data communications, Hot Chips 19
24Davy Genbrugge, Lieven Eeckhout Memory Data Flow Modeling in Statistical Simulation for the Efficient Exploration of Microprocessor Design Spaces. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Simulation, Modeling techniques, Performance Analysis and Design Aids
24Michalis D. Galanis, Gregory Dimitroulakos, Costas E. Goutis Performance and Energy Consumption Improvements in Microprocessor Systems Utilizing a Coprocessor Data-Path. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF coprocessor data-path, template units, kernels, performance improvements, design flow, energy reductions, architectural synthesis
24Yu Zhou, Somnath Paul, Swarup Bhunia Harvesting Wasted Heat in a Microprocessor Using Thermoelectric Generators: Modeling, Analysis and Measurement. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Shijian Zhang, Weiwu Hu Fetching Primary and Redundant Instructions in Turn for a Fault-Tolerant Embedded Microprocessor. Search on Bibsonomy PRDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Marek Chrobak, Christoph Dürr, Mathilde Hurand, Julien Robert Algorithms for Temperature-Aware Task Scheduling in Microprocessor Systems. Search on Bibsonomy AAIM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Ilya Wagner, Valeria Bertacco, Todd M. Austin Microprocessor Verification via Feedback-Adjusted Markov Models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Erik Schüler, Marcelo Ienczczak Erigson, Luigi Carro Functionally Fault-tolerant DSP Microprocessor using Sigma-delta Modulated Signals. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF error tolerant system, single event upset (SEU), Digital SignalProcessing (DSP), fault-tolerance, sigma-delta
24Scott Sirowy, Yonghui Wu, Stefano Lonardi, Frank Vahid Two-level microprocessor-accelerator partitioning. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Michalis D. Galanis, Grigoris Dimitroulakos, Costas E. Goutis Speedups and Energy Savings of Microprocessor Platforms with a Coarse-Grained Reconfigurable Data-Path. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Michalis D. Galanis, Grigoris Dimitroulakos, Costas E. Goutis Improving performance and energy consumption in embedded microprocessor platforms with a flexible custom coprocessor data-path. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF coprocessor data-path, synthesis, energy savings, performance improvements, design flow
24Rajdeep Chakraborty, J. K. Mandal 0001 A Microprocessor-based Block Cipher through Rotational Addition Technique (RAT). Search on Bibsonomy ICIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Akira Mochizuki, Takeshi Kitamura, Hirokatsu Shirahama, Takahiro Hanyu Design of a Microprocessor Datapath Using Four-Valued Differential-Pair Circuits. Search on Bibsonomy ISMVL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Hassan Al-Sukhni, David Lindberg, James Holt, Michele Reese Workload Slicing for Characterizing New Features in High Performance Microprocessors. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Seungbae Lee, Gi-Joon Nam, Junseok Chae, Hanseup Kim, Alan J. Drake Two-dimensional position detection system with MEMS accelerometers, readout circuitry, and microprocessor for padless mouse applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Qiang Wu, Margaret Martonosi, Douglas W. Clark, Vijay Janapa Reddi, Dan Connors, Youfeng Wu, Jin Lee, David M. Brooks A Dynamic Compilation Framework for Controlling Microprocessor Energy and Performance. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Danghui Wang, Xiaoya Fan, Deyuan Gao, Shengbing Zhang, Jianfeng An Microprocessor Based Self Schedule and Parallel BIST for System-On-a-Chip. Search on Bibsonomy ICESS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Masayuki Miyazaki, Goichi Ono, Takayuki Kawahara Optimum threshold-voltage tuning for low-power, high-performance microprocessor. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Paolo Bernardi, Ernesto Sánchez 0001, Massimiliano Schillaci, Matteo Sonza Reorda, Giovanni Squillero Diagnosing Faulty Functional Units in Processors by Using Automatically Generated Test Sets. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Jayanta Bhadra, Magdy S. Abadir, David Burgess, Ekaterina Trofimova Automatic Generation of High Performance Embedded Memory Models for PowerPC Microprocessors. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Warren A. Hunt Jr. Mechanical Mathematical Methods for Microprocessor Verification. Search on Bibsonomy CAV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Shlomi Dolev, Yinnon A. Haviv Self-Stabilizing Microprocessor - Analyzing and Overcoming Soft-Errors (Extended Abstract). Search on Bibsonomy ARCS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Ming-che Lai, Kui Dai, Li Shen 0007, Zhiying Wang 0003 A New Technique for Program Code Compression in Embedded Microprocessor. Search on Bibsonomy ICESS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Byung-Soo Choi, Jeong-A Lee, Dong-Soo Har High Performance Microprocessor Design Methods Exploiting Information Locality and Data Redundancy for Lower Area Cost and Power Consumption. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Michael B. Taylor, Walter Lee, Jason E. Miller, David Wentzlaff, Ian Bratt, Ben Greenwald, Henry Hoffmann, Paul R. Johnson, Jason Sungtae Kim, James Psota, Arvind Saraf, Nathan Shnidman, Volker Strumpen, Matthew I. Frank, Saman P. Amarasinghe, Anant Agarwal Evaluation of the Raw Microprocessor: An Exposed-Wire-Delay Architecture for ILP and Streams. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24George K. Adam Design of a Microprocessor-Based Control System of a Compression Molding Process. Search on Bibsonomy ICECCS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24W. Lindsay, Ernesto Sánchez 0001, Matteo Sonza Reorda, Giovanni Squillero Automatic Test Programs Generation Driven by Internal Performance Counters. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Heather Hanson, M. S. Hrishikesh, Vikas Agarwal, Stephen W. Keckler, Doug Burger Static energy reduction techniques for microprocessor caches. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 4097 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license