The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Retiming with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1993 (31) 1994 (23) 1995 (32) 1996 (29) 1997 (23) 1998 (23) 1999 (29) 2000 (16) 2001 (17) 2002 (21) 2003 (25) 2004 (32) 2005 (25) 2006 (19) 2007 (18) 2008 (18) 2009-2010 (22) 2011-2012 (16) 2013-2014 (16) 2015-2016 (18) 2017-2019 (19) 2020-2023 (9)
Publication types (Num. hits)
article(153) incollection(4) inproceedings(321) phdthesis(3)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 451 occurrences of 214 keywords

Results
Found 481 publication records. Showing 481 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Dimitrios Kagaris, Spyros Tragoudas A fast algorithm for minimizing FPGA combinational and sequential modules. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF field programmable gate arrays, retiming
17Peichen Pan, C. L. Liu 0001 Technology Mapping of Sequential Circuits for LUT-Based FPGAs for Performance. Search on Bibsonomy FPGA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF FPGAs, sequential circuits, retiming, technology mapping, look-up table, logic replication, clock period
17Pierre-Yves Calland, Alain Darte, Yves Robert A New Guaranteed Heuristic for the Software Pipelining Problem. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1996 DBLP  DOI  BibTeX  RDF circuit retiming, guaranteed heuristic, software pipelining, list scheduling, cyclic scheduling
17Nelson L. Passos, Edwin Hsing-Mean Sha Push-up scheduling: Optimal polynomial-time resource constrained scheduling for multi-dimensional applications. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Scheduling Resource constraints Multi-dimensional Retiming Nested loops
11Christopher Kennedy, Arash Reyhani-Masoleh High-speed CRC computations using improved state-space transformations. Search on Bibsonomy EIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Jennifer M. Davoren Epsilon-Tubes and Generalized Skorokhod Metrics for Hybrid Paths Spaces. Search on Bibsonomy HSCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Hui Liu 0006, Zili Shao, Meng Wang 0005, Ping Chen Overhead-Aware System-Level Joint Energy and Performance Optimization for Streaming Applications on Multiprocessor Systems-on-Chip. Search on Bibsonomy ECRTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Xinmiao Zhang, Jiangli Zhu Efficient interpolration architecture for soft-decision Reed-Solomon decoding by applying slow-down. Search on Bibsonomy SiPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Timothy Kam, Michael Kishinevsky, Jordi Cortadella, Marc Galceran Oms Correct-by-construction microarchitectural pipelining. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Michael L. Case, Alan Mishchenko, Robert K. Brayton, Jason Baumgartner, Hari Mony Invariant-Strengthened Elimination of Dependent State Elements. Search on Bibsonomy FMCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Shahid Rizwan Retimed Decomposed Serial Berlekamp-Massey (BM) Architecture for High-Speed Reed-Solomon Decoding. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Kenneth Eguro, Scott Hauck Enhancing timing-driven FPGA placement for pipelined netlists. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF timing-driven, FPGA, simulated annealing, pipelined, placement
11Yu Hu 0002, Victor Shih, Rupak Majumdar, Lei He 0001 FPGA area reduction by multi-output function based sequential resynthesis. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, logic synthesis, SAT, resynthesis
11Love Singhal, Elaheh Bozorgzadeh, David Eppstein Interconnect Criticality-Driven Delay Relaxation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Bo Zhu, Xianglin Yang Fiber soliton-form 3R regenerator and its performance analysis. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF optical regenerator, optical soliton, high nonlinear fiber, optical amplitude modulation, analysis of stability
11Yongru Gu, Keshab K. Parhi Pipelined Parallel Decision-Feedback Decoders for High-Speed Ethernet Over Copper. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Mark H. Nodine Automatic Testbench Generation for Rearchitected Designs. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Alan Mishchenko, Sungmin Cho, Satrajit Chatterjee, Robert K. Brayton Combinational and sequential mapping with priority cuts. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Christophe Layer, Daniel Schaupp, Hans-Jörg Pfleiderer Area and Throughput Aware Comparator Networks Optimization for Parallel Data Processing on FPGA. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Oswaldo Cadenas, Graham M. Megson Verification and FPGA Circuits of a Block-2 Fast Path-Based Predictor. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Chun Hok Ho, Philip Heng Wai Leong, Wayne Luk, Steven J. E. Wilton, Sergio López-Buedo Virtual Embedded Blocks: A Methodology for Evaluating Embedded Elements in FPGAs. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Lei Wang 0011, Zhiying Wang 0003, Kui Dai Cycle Period Analysis and Optimization of Timed Circuits. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Chun Xue, Zili Shao, Meilin Liu, Mei Kang Qiu, Edwin Hsing-Mean Sha Loop Scheduling with Complete Memory Latency Hiding on Multi-core Architecture. Search on Bibsonomy ICPADS (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Min Woo Kim, Jun Dong Cho A VLSI Design of High Speed Bit-level Viterbi Decoder. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Daehong Kim, Dongwan Shin, Kiyoung Choi Pipelining with common operands for power-efficient linear systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Marwa Chendeb, Mohamad Khalil, Jacques Duchêne New Approach for Detection Using Wavelet Coefficients. Search on Bibsonomy ICITA (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Ying Yi, Mark Milward, Sami Khawam, Ioannis Nousias, Tughrul Arslan Automatic synthesis and scheduling of multirate DSP algorithms. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Jason Baumgartner, Hari Mony Maximal Input Reduction of Sequential Netlists via Synergistic Reparameterization and Localization Strategies. Search on Bibsonomy CHARME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Hari Mony, Jason Baumgartner, Adnan Aziz Exploiting Constraints in Transformation-Based Verification. Search on Bibsonomy CHARME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Nathan Kitchen, Andreas Kuehlmann Temporal Decomposition for Logic Optimization. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Nagendran Rangan, Karam S. Chatha A Technique for Throughput and Register Optimization during Resource Constrained Pipelined Scheduling. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Andrew B. Kahng, Xu Xu 0001 Local unidirectional bias for cutsize-delay tradeoff in performance-driven bipartitioning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Ali Dasdan Experimental analysis of the fastest optimum cycle ratio and mean algorithms. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Cycle mean, cycle period, cycle ratio, iteration bound, system performance analysis, discrete event systems, data flow graphs, cycle time, experimental analysis
11Davide Massimiliano Forin, Franco Curti, Giorgio Maria Tosi-Beleffi, Francesco Matera, Andrea Reale, Silvello Betti, Simone Monterosso, Alessandro Fiorelli, Michele Guglielmucci, Sergio Cascelli All Optical 3R Regeneration and Wavelength Convertion. Search on Bibsonomy OpNeTec The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Nicholas Weaver, John R. Hauser, John Wawrzynek The SFRA: a corner-turn FPGA architecture. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA CAD, FPGA design study, FPGA optimization, FPGA architecture
11Jason Baumgartner, Andreas Kuehlmann Enhanced Diameter Bounding via Structural. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Mongkol Ekpanyapong, Sung Kyu Lim Performance-driven global placement via adaptive network characterization. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Andrew B. Kahng, Xu Xu 0001 Local unidirectional bias for smooth cutsize-delay tradeoff in performance-driven bipartitioning. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Noureddine Chabini, Wayne H. Wolf Minimizing Variables' Lifetime in Loop-Intensive Applications. Search on Bibsonomy EMSOFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Kun-Lin Tsai, Feipei Lai, Shanq-Jang Ruan, Szu-Wei Chaung State Reordering for Low Power Combinational Logic. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Chao-Yang Yeh, Malgorzata Marek-Sadowska Minimum-Area Sequential Budgeting for FPGA. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Qingfeng Zhuge, Edwin Hsing-Mean Sha, Chantana Chantrapornchai An Integrated Framework of Design Optimization and Space Minimization for DSP applications. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Robert Charles Koons, John R. Long An inductively-tuned quadrature oscillator with extended frequency control range. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Cagdas Akturan, Margarida F. Jacome RS-FDRA: A register-sensitive software pipelining algorithm for embedded VLIW processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Greg Snider Performance-constrained pipelining of software loops onto reconfigurable hardware. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Qingfeng Zhuge, Bin Xiao 0001, Edwin Hsing-Mean Sha Variable Partitioning and Scheduling of Multiple Memory Architectures for DSP. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Mário P. Véstias, Horácio C. Neto System-Level Co-Synthesis of Dataflow Dominated Applications on Reconfigurable Hardware/Software Architectures. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Qingfeng Zhuge, Bin Xiao 0001, Edwin Hsing-Mean Sha Performance optimization of multiple memory architectures for DSP. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Keliu Shu, Edgar Sánchez-Sinencio A 5-GHz prescaler using improved phase switching. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Timothy W. O'Neil, Edwin Hsing-Mean Sha Minimizing resources in a repeating schedule for a split-node data-flow graph. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Shi-Yu Huang On speeding up extended finite state machines using catalyst circuitry. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Alvin R. Albrecht, Alan J. Hu Register Transformations with Multiple Clock Domains. Search on Bibsonomy CHARME The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Yong Chang Kim, Kewal K. Saluja, Vishwani D. Agrawal Combinational Test Generation for Acyclic SequentialCircuits using a Balanced ATPG Model. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Mitrajit Chatterjee, Savita Banerjee, Dhiraj K. Pradhan Buffer Assignment Algorithms on Data Driven ASICs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF throughput, Application specific integrated circuits, buffers, data flow graph, wave-pipelining, data driven architecture
11Christoph Saas, Andreas Schlaffer, Josef A. Nossek An Adiabatic Multiplier. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Sundar Vedula, Simon Baker, Steven M. Seitz, Takeo Kanade Shape and Motion Carving in 6D. Search on Bibsonomy CVPR The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Jens Horstmannshoff, Heinrich Meyr Efficient building block based RTL code generation from synchronous data flow graphs. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Alberto Nannarelli, Tomás Lang Low-Power Divider. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Floating-point division, low-power, digit-recurrence division
11Jens Horstmannshoff, Heinrich Meyr Optimized System Synthesis of Complex RT Level Building Blocks from Multirate Dataflow Graphs. Search on Bibsonomy ISSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Paul Tafertshofer, Andreas Ganz SAT based ATPG using fast justification and propagation in the implication graph. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Jun Ma 0011, Keshab K. Parhi, Ed F. Deprettere Derivation of parallel and pipelined orthogonal filter architectures via algorithm transformations. Search on Bibsonomy ISCAS (3) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Mehrun Mokhtari, Ali Ladjemi, Urban Westergren, Lars Thylén Bit-rate transparent electronic data regeneration in repeaters for high speed lightwave communication systems. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Kazuhito Ito, Lori E. Lucke, Keshab K. Parhi ILP-based cost-optimal DSP synthesis with module selection and data format conversion. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Raghu Burra, Dinesh Bhatia Timing Driven Multi-FPGA Board Partitioning. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Liang-Fang Chao, Andrea S. LaPaugh, Edwin Hsing-Mean Sha Rotation scheduling: a loop pipelining algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
11Nelson L. Passos, Edwin Hsing-Mean Sha, Liang-Fang Chao Multidimensional interleaving for synchronous circuit design optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
11Anand Raghunathan, Niraj K. Jha SCALP: an iterative-improvement-based low-power data path synthesis system. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
11Ted Zhihong Yu, Edwin Hsing-Mean Sha, Nelson L. Passos, Roy Dz-Ching Ju Algorithm and Hardware Support for Branch Anticipation. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
11Sissades Tongsima, Chantana Chantrapornchai, Edwin Hsing-Mean Sha, Nelson L. Passos Scheduling with Confidence for Probabilistic Data-flow Graphs. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
11Thomas E. Marchok, Aiman H. El-Maleh, Wojciech Maly, Janusz Rajski A complexity analysis of sequential ATPG. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
11Michael Sheliga, Nelson L. Passos, Edwin Hsing-Mean Sha Fully Parallel Hardware/Software Codesign for Multi-Dimensional DSP Applications. Search on Bibsonomy CODES The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Multi-Dimensional Systems, High Level Synthesis, Hardware/Software Codesign
11Keshab K. Parhi High-level algorithm and architecture transformations for DSP synthesis. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
11Ching-Yi Wang, Keshab K. Parhi Resource-constrained loop list scheduler for DSP algorithms. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
11Fermín Sánchez Time-Constrained Loop Pipelining. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF timing and resource contraints, register optimization, scheduling, loop pipelining
11Miodrag Potkonjak, Jan M. Rabaey Optimizing resource utilization using transformations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
11Phu Hoang, Jan M. Rabaey A CAD environment for Real-time DSP implementations on multiprocessors. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
11Miodrag Potkonjak, Jan M. Rabaey Optimizing throughput and resource utilization using pipelining: Transformation based approach. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
11Kazuhito Ito, Lori E. Lucke, Keshab K. Parhi Module selection and data format conversion for cost-optimal DSP synthesis. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
11Catherine H. Gebotys Throughput optimized architectural synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
11Lori E. Lucke, Keshab K. Parhi Data-flow transformations for critical path time reduction in high-level DSP synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
11Hervé Le Verge, Christophe Mauras, Patrice Quinton The ALPHA language and its use for the design of systolic arrays. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #481 of 481 (100 per page; Change: )
Pages: [<<][1][2][3][4][5]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license