|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1519 occurrences of 1123 keywords
|
|
|
Results
Found 7728 publication records. Showing 7721 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
18 | Atreyi Chakraverti, Moon-Jung Chung |
Routing Algorithm for Gate Array Macro Cells. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 25th ACM/IEEE Conference on Design Automation, DAC '88, Anaheim, CA, USA, June 12-15, 1988., pp. 658-662, 1988, ACM. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP BibTeX RDF |
|
18 | Patrice Frison, Eric Gautrin |
MADMACS: a new VLSI layout macro editor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 23rd ACM/IEEE Design Automation Conference. Las Vegas, NV, USA, June, 1986., pp. 654-658, 1986, IEEE Computer Society Press. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
|
16 | Wing-Yi Chan, Huamin Qu, Wai-Ho Mak |
Visualizing the Semantic Structure in Classical Music Works. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 16(1), pp. 161-173, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Classical music, macro-micro relationship, information visualization, threads, glyph, weaving, typography |
16 | Weixing Zhu, Yong Ma, Rixia Wang |
An Adaptive Fast Algorithm for Coding Block Mode Selection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IITSI ![In: Third International Symposium on Intelligent Information Technology and Security Informatics, IITSI 2010, Jinggangshan, China, April 2-4, 2010, pp. 402-406, 2010, IEEE Computer Society, 978-0-7695-4020-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
macro block mode, fast mode selection, coded block, RD cost, H.264/AVC |
16 | Neeraj Kaul |
Design planning trends and challenges. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2010 International Symposium on Physical Design, ISPD 2010, San Francisco, California, USA, March 14-17, 2010, pp. 5, 2010, ACM, 978-1-60558-920-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
clock planning, feedthrough generation, macro placement, power domains, power planning, time budgeting, voltage areas, prototyping, partitioning, floorplanning, feasibility, hierarchical design, constraints generation, pin assignment |
16 | Travis L. Ross, Robert D. Cornell |
Towards an Experimental Methodology of Virtual World Research. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VS-GAMES ![In: Second International Conference on Games and Virtual Worlds for Serious Applications, VS-GAMES 2010, Braga, Portugal, March 25-26, 2010, pp. 143-150, 2010, IEEE Computer Society, 978-0-7695-3986-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Experimental Tools, Petri Dish Approach, Greenland, Games, Experiment, Virtual Worlds, Macro, Experimental Method |
16 | Geng Zhu, Bin Wang |
Switched Computation on the Railway Route. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA ![In: IEEE International Symposium on Parallel and Distributed Processing with Applications, ISPA 2010, Taipei, Taiwan, 6-9 September 2010, pp. 62-65, 2010, IEEE Computer Society, 978-1-4244-8095-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
switched computation, macro route, matlab, railway signal |
16 | Pengyu Liu 0001, Kebin Jia |
A Fast Inter-frame Prediction Algorithm for H.264/AVC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IIH-MSP ![In: Sixth International Conference on Intelligent Information Hiding and Multimedia Signal Processing (IIH-MSP 2010), Darmstadt, Germany, 15-17 October, 2010, Proceedings, pp. 647-650, 2010, IEEE Computer Society, 978-1-4244-8378-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Inter-frame Prediction, H.264/AVC, mode decision, macro-block |
16 | Pengyu Liu 0001, Kebin Jia |
A Self-Adaptive and Fast Motion Estimation Search Method for H.264/AVC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IIH-MSP ![In: Sixth International Conference on Intelligent Information Hiding and Multimedia Signal Processing (IIH-MSP 2010), Darmstadt, Germany, 15-17 October, 2010, Proceedings, pp. 651-654, 2010, IEEE Computer Society, 978-1-4244-8378-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
UMHexagonS, Motion estimation, H.264/AVC, macro-block |
16 | Wei-Ming Chen, Wally Chen, Han-Chieh Chao |
An efficient mobile IPv6 handover scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Telecommun. Syst. ![In: Telecommun. Syst. 42(3-4), pp. 293-304, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
IPv6, Handover, Macro-mobility |
16 | David Lee, Sean A. Munson, Ben Congleton, Mark W. Newman, Mark S. Ackerman, Erik C. Hofer, Thomas A. Finholt |
Montage: a platform for physically navigating multiple pages of web content. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI Extended Abstracts ![In: Proceedings of the 27th International Conference on Human Factors in Computing Systems, CHI 2009, Extended Abstracts Volume, Boston, MA, USA, April 4-9, 2009, pp. 4477-4482, 2009, ACM, 978-1-60558-247-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
high resolution visualization, micro/macro view, optiportal, information visualization, tiled displays |
16 | Abhranil Maiti, Raghunandan Nagesh, Anand Reddy, Patrick Schaumont |
Physical unclonable function and true random number generator: a compact and scalable implementation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, Boston Area, MA, USA, May 10-12 2009, pp. 425-428, 2009, ACM, 978-1-60558-522-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
ring oscillators (ro), trng, fpga, scalable, jitter, macro, puf |
16 | Andreas Saebjoernsen, Lingxiao Jiang, Daniel J. Quinlan, Zhendong Su 0001 |
Static Validation of C Preprocessor Macros. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASE ![In: ASE 2009, 24th IEEE/ACM International Conference on Automated Software Engineering, Auckland, New Zealand, November 16-20, 2009, pp. 149-160, 2009, IEEE Computer Society, 978-0-7695-3891-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
preprossing, macro errors, inconsistencies |
16 | Reza Seifabadi, Seyed Mehdi Rezaei, Saeed Shiry 0001, Mozafar Saadat, Mohammad Zarei-nejad, Kamran Razi, Hossein Habibollahi |
Robust Impedance Control of a Delayed Telemanipulator Considering Hysteresis Nonlinearity of the Piezo-actuated Slave Robot. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EuroHaptics ![In: Haptics: Perception, Devices and Scenarios, 6th International Conference, EuroHaptics 2008, Madrid, Spain, June 10-13, 2008, Proceedings, pp. 63-72, 2008, Springer, 978-3-540-69056-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
macro-micro telemanipulation, piezo-actuator, LuGre model, robustness, scaling, Nonlinear, time delay, impedance controller, Hysteresis, sliding mode |
16 | Stéphane P. A. Bordas, James G. Conley, Brian Moran, Joe Gray, Ed Nichols |
A simulation-based design paradigm for complex cast components. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Eng. Comput. ![In: Eng. Comput. 23(1), pp. 25-37, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Casting design and modeling, Extended finite element method, XFEM, Crack growth and damage tolerance analysis, Non-destructive evaluation, Industrial problems, Micro-macro simulations |
16 | Chung-chieh Shan |
A static simulation of dynamic delimited control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
High. Order Symb. Comput. ![In: High. Order Symb. Comput. 20(4), pp. 371-401, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Delimited control operators, Macro expressibility, Shift and reset, Control and prompt, Continuation-passing style (CPS) |
16 | Alexandros Agapitos, Julian Togelius, Simon M. Lucas |
Evolving controllers for simulated car racing using object oriented genetic programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO ![In: Genetic and Evolutionary Computation Conference, GECCO 2007, Proceedings, London, England, UK, July 7-11, 2007, pp. 1543-1550, 2007, ACM, 978-1-59593-697-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
evolutionary computer games, homologous uniform crossover, subtree macro-mutation, neural networks, genetic programming, object-oriented, evolutionary robotics |
16 | Ewa Kijak, Guillaume Gravier, Lionel Oisel, Patrick Gros |
Audiovisual integration for tennis broadcast structuring. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Tools Appl. ![In: Multim. Tools Appl. 30(3), pp. 289-311, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Video structure analysis, Macro-segmentation, Hidden Markov models, Cross-modality |
16 | David de Drézigué, Jean-Paul Fizaine, Nils Hansma |
In-depth analysis of the viral threats with OpenOffice.org documents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Virol. ![In: J. Comput. Virol. 2(3), pp. 187-210, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Macro virus, Document malware, Self-reproduction, Antiviral Policy, Security Policy, Malware |
16 | Richard Ford |
The Wrong Stuff? ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Secur. Priv. ![In: IEEE Secur. Priv. 2(3), pp. 86-89, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
detection, scaling, virus, macro, proactive, antivirus |
16 | Nabil R. Adam, Vandana Pursnani Janeja, Vijayalakshmi Atluri |
Neighborhood based detection of anomalies in high dimensional spatio-temporal sensor datasets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2004 ACM Symposium on Applied Computing (SAC), Nicosia, Cyprus, March 14-17, 2004, pp. 576-583, 2004, ACM, 1-58113-812-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
macro neighborhood, micro neighborhood, spatial neighborhood, sensors, outliers |
16 | Laurent Besacier, Georges Quénot, Stéphane Ayache, Daniel Moraru |
Video story segmentation with multi-modal features: experiments on TRECvid 2003. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multimedia Information Retrieval ![In: Proceedings of the 6th ACM SIGMM International Workshop on Multimedia Information Retrieval, MIR 2004, October 15-16, 2004, New York, NY, USA, pp. 221-227, 2004, ACM, 1-58113-940-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
TREC video, macro-segmentation, multi-modal features, video story segmentation |
16 | Suvas Vajracharya, Steve Karmesin, Peter H. Beckman, James Crotinger, Allen D. Malony, Sameer Shende, R. R. Oldehoeft, Stephen Smith 0002 |
SMARTS: exploiting temporal locality and parallelism through vertical execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Supercomputing ![In: Proceedings of the 13th international conference on Supercomputing, ICS 1999, Rhodes, Greece, June 20-25, 1999, pp. 302-310, 1999, ACM, 1-58113-164-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
cache reuse, dependence-driven execution, macro-dataflow, object-parallelism, object-oriented, scientific computation, programming models, data-parallelism, data locality, run-time systems, loop scheduling, barrier synchronization, data-parallel languages |
16 | François Galilée, Jean-Louis Roch, Gerson G. H. Cavalheiro, Mathias Doreille |
Athapascan-1: On-Line Building Data Flow Graph in a Parallel Language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1998 International Conference on Parallel Architectures and Compilation Techniques, Paris, France, October 12-18, 1998, pp. 88-95, 1998, IEEE Computer Society, 0-8186-8591-3. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
macro-data flow languages, Multithreading, on-line scheduling, parallel complexity |
16 | Kalyani Govinda Char |
Evolution of Structure and Learning - A GP approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWANN ![In: Biological and Artificial Computation: From Neuroscience to Technology, International Work-Conference on Artificial and Natural Neural Networks, IWANN '97, Lanzarote, Canary Islands, Spain, June 4-6, 1997, Proceedings, pp. 510-517, 1997, Springer, 3-540-63047-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
connectionist learning rules, micro-macro dynamics, Genetic programming, self-organizing feature maps, quantization error |
16 | Liisa Räihä |
A Brief Look at Extension Programming Before and Now. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGPLAN Notices ![In: ACM SIGPLAN Notices 30(2), pp. 12-20, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
C Oberon Macro and assembly languages |
16 | Maureen C. Stone, Kenneth P. Fishkin, Eric A. Bier |
The movable filter as a user interface tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI ![In: Conference on Human Factors in Computing Systems, CHI 1994, Boston, Massachusetts, USA, April 24-28, 1994, Proceedings, pp. 306-312, 1994, ACM, 0-89791-650-6. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
viewing filter, visualization, graphics, transparent, macro, editing, lens |
16 | Eric A. Bier, Maureen C. Stone, Kenneth P. Fishkin, William Buxton, Thomas Baudel |
A taxonomy of see-through tools. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI ![In: Conference on Human Factors in Computing Systems, CHI 1994, Boston, Massachusetts, USA, April 24-28, 1994, Proceedings, pp. 358-364, 1994, ACM, 0-89791-650-6. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
control panel, multihand, viewing filter, user interface, transparent, macro, menu, lens, button |
16 | Carsta Petersohn, Willem P. de Roever, Cornelis Huizing, Jan Peleska 0001 |
Formal Semantics for Ward & Mellor's Transformation Schemas and the Specification of Faul Tolerant Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EDCC ![In: Dependable Computing - EDCC-1, First European Dependable Computing Conference, Berlin, Germany, October 4-6, 1994, Proceedings, pp. 59-76, 1994, Springer, 3-540-58426-9. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
Real-time embedded systems and their design, Structured Analysis and Design Methods, Transformation Schema, micro and macro steps, Berry's synchrony hypothesis, safety critical systems design, simulation of and semantics for fault-tolerant systems, modularity, formal semantics, synchronous languages |
16 | Gita Alaghband, Muhammad S. Benten, Rüdiger Jakob, Harry F. Jordan, Aruna V. Ramanan |
Language Portability Across Shared Memory Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 4(9), pp. 1064-1072, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
low-levelsynchronization, asynchronous variable operations, portable parallelprograms, macro processor, MIMD multiprocessor, sharedmemory, shared memorysystems, parallel programming, synchronization, shared memory multiprocessors, mutual exclusion, portability, parallel language, parallel languages, software portability, Force, parallel programming language |
16 | Eric A. Bier, Maureen C. Stone, Kenneth A. Pier, William Buxton, Tony DeRose |
Toolglass and magic lenses: the see-through interface. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH ![In: Proceedings of the 20th Annual Conference on Computer Graphics and Interactive Techniques, SIGGRAPH 1993, Anaheim, CA, USA, August 2-6, 1993, pp. 73-80, 1993, ACM, 0-89791-601-8. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
control panel, multi-hand, viewing filter, transparent, macro, menu, lens, button |
16 | Wilfred J. Hansen |
Compact list representation: definition, garbage collection, and system implementation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Commun. ACM ![In: Commun. ACM 12(9), pp. 499-507, 1969. The full citation details ...](Pics/full.jpeg) |
1969 |
DBLP DOI BibTeX RDF |
compact list, free storage, list processing system, list representation, plex, plex processing, primitive list operations, storage reclamation, data structure, garbage collection, LISP, LISP, pointer, data representation, macro, list, relocation, list structure |
16 | Jan V. Garwick |
Programming Languages: GPL, a truly general purpose language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Commun. ACM ![In: Commun. ACM 11(9), pp. 634-638, 1968. The full citation details ...](Pics/full.jpeg) |
1968 |
DBLP DOI BibTeX RDF |
general purpose, self-extending, programming language, AlGOL, macro |
16 | Mark I. Halpern |
Programming Languages: Toward a general processor for programming languages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Commun. ACM ![In: Commun. ACM 11(1), pp. 15-25, 1968. The full citation details ...](Pics/full.jpeg) |
1968 |
DBLP DOI BibTeX RDF |
compiler writing system, general processor, general translator, macro instruction processor, meta compiler, meta language processor, meta language translator, meta processor, programming language processor, programming language translator, compiler-compiler, translator writing system |
14 | Ameya R. Agnihotri, Satoshi Ono, Patrick H. Madden |
An effective approach for large scale floorplanning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, Providence, Rhode Island, USA, May 16-18 2010, pp. 107-110, 2010, ACM, 978-1-4503-0012-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
placement, floorplanning, legalization |
14 | Lorenzo Mucchi, Patrizio Marcocci |
A new parameter for UWB indoor channel profile identification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Wirel. Commun. ![In: IEEE Trans. Wirel. Commun. 8(4), pp. 1597-1602, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Fumihiko Satofuka, Ismo Kantola, Yasuhiko Kono |
Explaining media choice: theoretical discussion and an empirical experiment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AI Soc. ![In: AI Soc. 24(2), pp. 135-150, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Jupyung Lee, Kyu Ho Park |
Prediction-Based Micro-Scheduler: Toward Responsive Scheduling of General-Purpose Operating Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 58(5), pp. 648-661, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Dabin Zhang, Lean Yu, Shouyang Wang, Yingwen Song |
A novel PPGA-based clustering analysis method for business cycle indicator selection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Frontiers Comput. Sci. China ![In: Frontiers Comput. Sci. China 3(2), pp. 217-225, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
pseudo parallel genetic algorithm, Genetic algorithm, clustering analysis, business cycle |
14 | Jürgen Mimkes |
Differential Forms: A New Tool in Economics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Complex (2) ![In: Complex Sciences, First International Conference, Complex 2009, Shanghai, China, February 23-25, 2009. Revised Papers, Part 2, pp. 2029-2039, 2009, Springer, 978-3-642-02468-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Christian Russ 0001, Alexander Walz |
MACSIMA: On the Effects of Adaptive Negotiation Behavior in Agent-Based Supply Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MATES ![In: Multiagent System Technologies, 7th German Conference, MATES 2009, Hamburg, Germany, September 9-11, 2009. Proceedings, pp. 128-140, 2009, Springer, 978-3-642-04142-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Simulation Modeling and Output Analysis, Agent-based Supply Chain Management, Coordination Mechanism Design, Bilateral Negotiation, Genetic Algorithms, Multiagent Systems, Intelligent Agents, Distributed Artificial Intelligence, Evolutionary Learning, Experimental Economics |
14 | Jackey Z. Yan, Natarajan Viswanathan, Chris Chu |
Handling complexities in modern large-scale mixed-size placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 436-441, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
incremental placement, mixed-size design, floorplanning |
14 | Tung-Chieh Chen, Zhe-Wei Jiang, Tien-Chang Hsu, Hsin-Chen Chen, Yao-Wen Chang |
NTUplace3: An Analytical Placer for Large-Scale Mixed-Size Designs With Preplaced Blocks and Density Constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(7), pp. 1228-1240, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Holger A. Meier, Michael Schlemmer, Christian Wagner 0010, Andreas Kerren, Hans Hagen, Ellen Kuhl, Paul Steinmann |
Visualization of Particle Interactions in Granular Media. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 14(5), pp. 1110-1125, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Avin Kumar Kannur, Baoxin Li |
An enhanced rate control scheme with motion assisted slice grouping for low bit rate coding in H.264. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: Proceedings of the International Conference on Image Processing, ICIP 2008, October 12-15, 2008, San Diego, California, USA, pp. 2100-2103, 2008, IEEE, 978-1-4244-1765-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Ralf Irmer, Fabian Diehm |
On coverage and capacity of relaying in LTE-advanced in example deployments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PIMRC ![In: Proceedings of the IEEE 19th International Symposium on Personal, Indoor and Mobile Radio Communications, PIMRC 2008, 15-18 September 2008, Cannes, French Riviera, France, pp. 1-5, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Bogdan Timus, Pablo Soldati |
Cellular-relaying network dimensioning with cross-layer resource allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PIMRC ![In: Proceedings of the IEEE 19th International Symposium on Personal, Indoor and Mobile Radio Communications, PIMRC 2008, 15-18 September 2008, Cannes, French Riviera, France, pp. 1-5, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Chen Chen 0005, Cindy Xide Lin, Xifeng Yan, Jiawei Han 0001 |
On effective presentation of graph patterns: a structural representative approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIKM ![In: Proceedings of the 17th ACM Conference on Information and Knowledge Management, CIKM 2008, Napa Valley, California, USA, October 26-30, 2008, pp. 299-308, 2008, ACM, 978-1-59593-991-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
frequent graph pattern, smoothing-clustering, structural representative |
14 | Yoni Aizik, Gila Kamhi, Yael Zbar, Hadas Ronen, Muhammad Abozaed |
Power-Aware Design via Micro-architectural Link to Implementation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PATMOS ![In: Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 18th International Workshop, PATMOS 2008, Lisbon, Portugal, September 10-12, 2008. Revised Selected Papers, pp. 72-81, 2008, Springer, 978-3-540-95947-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Howard Chen 0001, Scott Neely, Jinjun Xiong, Vladimir Zolotov, Chandu Visweswariah |
Statistical Modeling and Analysis of Static Leakage and Dynamic Switching Power. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PATMOS ![In: Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 18th International Workshop, PATMOS 2008, Lisbon, Portugal, September 10-12, 2008. Revised Selected Papers, pp. 178-187, 2008, Springer, 978-3-540-95947-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Statistical power analysis |
14 | Francesco Davide Calabrese, Per-Henrik Michaelsen, Claudio Rosa, Mohmmad Anas, Carlos Ubeda Castellanos, Dimas López Villa, Klaus I. Pedersen, Preben E. Mogensen |
Search-Tree Based Uplink Channel Aware Packet Scheduling for UTRAN LTE. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTC Spring ![In: Proceedings of the 67th IEEE Vehicular Technology Conference, VTC Spring 2008, 11-14 May 2008, Singapore, pp. 1949-1953, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Paolo Ballarini, András Horváth |
Memory Efficient Calculation of Path Probabilities in Large Structured Markov Chains. ![Search on Bibsonomy](Pics/bibsonomy.png) |
QEST ![In: Fifth International Conference on the Quantitative Evaluaiton of Systems (QEST 2008), 14-17 September 2008, Saint-Malo, France, pp. 157-166, 2008, IEEE Computer Society, 978-0-7695-3360-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Frank Dignum, Virginia Dignum, Catholijn M. Jonker |
Towards Agents for Policy Making. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MABS ![In: Multi-Agent-Based Simulation IX, International Workshop, MABS 2008, Estoril, Portugal, May 12-13, 2008, Revised Selected Papers, pp. 141-153, 2008, Springer, 978-3-642-01990-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Raif Serkan Albayrak, Ahmet K. Süerdem |
Towards a New Approach in Social Simulations: Meta-language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MABS ![In: Multi-Agent-Based Simulation IX, International Workshop, MABS 2008, Estoril, Portugal, May 12-13, 2008, Revised Selected Papers, pp. 195-214, 2008, Springer, 978-3-642-01990-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
semiotic relations, meaning generation, culture, language, emergence, Social simulation, reflexivity, intentionality |
14 | Jianhua Fan, Jörg Peters 0001 |
On Smooth Bicubic Surfaces from Quad Meshes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVC (1) ![In: Advances in Visual Computing, 4th International Symposium, ISVC 2008, Las Vegas, NV, USA, December 1-3, 2008. Proceedings, Part I, pp. 87-96, 2008, Springer, 978-3-540-89638-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Alexis Drogoul |
Keynote Speech: A Review of the Ontological Status, Computational Foundations and Methodological Processes of Agent-Based Modeling and Simulation Approaches: Open Challenges and Research Perspectives. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PRIMA ![In: Intelligent Agents and Multi-Agent Systems, 11th Pacific Rim International Conference on Multi-Agents, PRIMA 2008, Hanoi, Vietnam, December 15-16, 2008. Proceedings, pp. 1, 2008, Springer, 978-3-540-89673-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Yuan Li 0015, Qinglin Wang, Zhiguo Yan, De Xu, Min Tan 0001 |
Design and Coordinated Motion Control of a Welding Robot for Large Scale Workpieces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIRA (1) ![In: Intelligent Robotics and Applications, First International Conference, ICIRA 2008, Wuhan, China, October 15-17, 2008 Proceedings, Part I, pp. 101-110, 2008, Springer, 978-3-540-88512-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Welding automation, Motion control, Visual servo, Welding robot |
14 | Amir Hormati, Manjunath Kudlur, Scott A. Mahlke, David F. Bacon, Rodric M. Rabbah |
Optimus: efficient realization of streaming applications on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2008 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2008, Atlanta, GA, USA, October 19-24, 2008, pp. 41-50, 2008, ACM. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
optimization, FPGA, embedded systems, compiler, streaming, heterogeneous |
14 | Hock Chuan Lim, Rob Stocker, Henry Larkin |
Ethical Trust and Social Moral Norms Simulation: A Bio-inspired Agent-Based Modelling Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IAT ![In: Proceedings of the 2008 IEEE/WIC/ACM International Conference on Intelligent Agent Technology, Sydney, NSW, Australia, December 9-12, 2008, pp. 245-251, 2008, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Roberto Lublinerman, Stavros Tripakis |
Modular Code Generation from Triggered and Timed Block Diagrams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real-Time and Embedded Technology and Applications Symposium ![In: Proceedings of the 14th IEEE Real-Time and Embedded Technology and Applications Symposium, RTAS 2008, April 22-24, 2008, St. Louis, Missouri, USA, pp. 147-158, 2008, IEEE Computer Society, 978-0-7695-3146-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Modularity, Code generation, Block diagrams |
14 | Chuan Yang, Qiang Zhao, Zhi Zhang |
Study on Precision Positioning System of Two-Dimensional Platform Based on High-Speed and Large-Range. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSSE (4) ![In: International Conference on Computer Science and Software Engineering, CSSE 2008, Volume 4: Embedded Programming / Database Technology / Neural Networks and Applications / Other Applications, December 12-14, 2008, Wuhan, China, pp. 831-834, 2008, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Yanbin Peng, Bei Shui Liao, Ji Gao, Jun Hu, CunHao Wang, Jie-Qing Ai, Hang Guo |
Cooperative Problem Solving Process Based on MAS_NP Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC (2) ![In: 2008 IEEE/IPIP International Conference on Embedded and Ubiquitous Computing (EUC 2008), Shanghai, China, December 17-20, 2008, Volume II: Workshops, pp. 423-427, 2008, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Ahlem Melouah, Hayet Farida Merouani |
Hierarchical segmentation of digital mammography by agents competition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDIM ![In: Third IEEE International Conference on Digital Information Management (ICDIM), November 13-16, 2008, London, UK, Proceedings, pp. 442-447, 2008, IEEE, 978-1-4244-2917-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Jaeseo Lee, Geoff Hatcher, Lieven Vandenberghe, Chih-Kong Ken Yang |
Evaluation of Fully-Integrated Switching Regulators for CMOS Process Technologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 15(9), pp. 1017-1027, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Jin Guo 0001, Antonis Papanikolaou, H. Zhang, Francky Catthoor |
Energy/Area/Delay Tradeoffs in the Physical Design of On-Chip Segmented Bus Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 15(8), pp. 941-944, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Chuan Heng Foh, Yu Zhang 0004, Zefeng Ni, Jianfei Cai 0001, King Ngi Ngan |
Optimized Cross-Layer Design for Scalable Video Transmission Over the IEEE 802.11e Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. Video Technol. ![In: IEEE Trans. Circuits Syst. Video Technol. 17(12), pp. 1665-1678, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Zbigniew Król |
The Emergence of New Concepts in Science. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Creative Environments ![In: Creative Environments: Issues of Creativity Support for the Knowledge Civilization Age, pp. 417-444, 2007, Springer, 978-3-540-71466-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Dominik J. Bell, Stefan Leutenegger, K. Magnus Hammar, Lixin Dong, Bradley J. Nelson |
Flagella-like Propulsion for Microrobots Using a Nanocoil and a Rotating Electromagnetic Field. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: 2007 IEEE International Conference on Robotics and Automation, ICRA 2007, 10-14 April 2007, Roma, Italy, pp. 1128-1133, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Yijun Liu, Pinghua Chen, Wenyan Wang, Zhenkun Li |
The Design and Implementation of a Power Efficient Embedded SRAM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PATMOS ![In: Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 17th International Workshop, PATMOS 2007, Gothenburg, Sweden, September 3-5, 2007, Proceedings, pp. 86-96, 2007, Springer, 978-3-540-74441-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Klas Johansson, Jens Zander, Anders Furuskar |
Cost Efficient Deployment of Heterogeneous Wireless Access Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTC Spring ![In: Proceedings of the 65th IEEE Vehicular Technology Conference, VTC Spring 2007, 22-25 April 2007, Dublin, Ireland, pp. 3200-3204, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Laurence Devillers, Laurence Vidrascu |
Real-Life Emotion Recognition in Speech. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Speaker Classification (2) ![In: Speaker Classification II, Selected Projects, pp. 34-42, 2007, Springer, 978-3-540-74121-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
real-life data, linguistic and paralinguistic cues, emotion detection |
14 | Raimund Ubar, Sergei Devadze, Jaan Raik, Artur Jutman |
Ultra Fast Parallel Fault Analysis on Structurally Synthesized BDDs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETS ![In: 12th European Test Symposium, ETS 2007, Freiburg, Germany, May 20, 2007, pp. 131-136, 2007, IEEE Computer Society, 978-0-7695-2827-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Animesh Pathak, Luca Mottola, Amol Bakshi, Viktor K. Prasanna, Gian Pietro Picco |
Expressing Sensor Network Interaction Patterns Using Data-Driven Macroprogramming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PerCom Workshops ![In: Fifth Annual IEEE International Conference on Pervasive Computing and Communications - Workshops (PerCom Workshops 2007), 19-23 March 2007, White Plains, New York, USA, pp. 255-260, 2007, IEEE Computer Society, 978-0-7695-2788-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Nai-peng Hu, Jin-xin Tian |
Evaluation of the Growth of Real Estate Financial System Based on BP Neural Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISNN (3) ![In: Advances in Neural Networks - ISNN 2007, 4th International Symposium on Neural Networks, ISNN 2007, Nanjing, China, June 3-7, 2007, Proceedings, Part III, pp. 49-56, 2007, Springer, 978-3-540-72394-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Shao-Bo Wang, Xiaolin Zhang 0002, Yuan Yao, Zhe Wang |
H.264 Intra Prediction Architecture Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICME ![In: Proceedings of the 2007 IEEE International Conference on Multimedia and Expo, ICME 2007, July 2-5, 2007, Beijing, China, pp. 1571-1574, 2007, IEEE Computer Society, 1-4244-1017-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Hakikur Rahman |
E-government readiness: from the design table to the grass roots. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICEGOV ![In: Proceedings of the 1st International Conference on Theory and Practice of Electronic Governance, ICEGOV 2007, Macao, China, December 10-13, 2007, pp. 225-232, 2007, ACM, 978-1-59593-822-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
e-government readiness, e-governance, e-government, ICT, digital divide, e-readiness |
14 | Sebastian Maneth, Thomas Perst, Helmut Seidl |
Exact XML Type Checking in Polynomial Time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDT ![In: Database Theory - ICDT 2007, 11th International Conference, Barcelona, Spain, January 10-12, 2007, Proceedings, pp. 254-268, 2007, Springer, 3-540-69269-X. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Adam Smyk, Marek Tudruj |
Optimization of Parallel FDTD Computations Using a Genetic Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPAM ![In: Parallel Processing and Applied Mathematics, 7th International Conference, PPAM 2007, Gdansk, Poland, September 9-12, 2007, Revised Selected Papers, pp. 559-569, 2007, Springer, 978-3-540-68105-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Kwan Hee Han, Jin-Gu Kang |
Two-stage Process Analysis Using the Process-based Performance Measurement Framework and Process Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SERA ![In: 5th ACIS International Conference on Software Engineering Research, Management & Applications (SERA 2007), August 20-22, 2007, Haeundae Grand Hotel, Busan, Korea, pp. 31-37, 2007, IEEE Computer Society, 0-7695-2867-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Michele Favalli |
Delay Fault Detection Problems in Circuits Featuring a Low Combinational Depth. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), 26-28 September 2007, Rome, Italy., pp. 170-178, 2007, IEEE Computer Society, 0-7695-2885-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Yufeng Wang 0001, Yoshiaki Hori, Kouichi Sakurai |
Study on Trust Inference and Emergence of Economical Small-World Phenomena in P2P Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PAKDD Workshops ![In: Emerging Technologies in Knowledge Discovery and Data Mining, PAKDD 2007, International Workshops, Nanjing, China, May 22-25, 2007, Revised Selected Papers, pp. 502-514, 2007, Springer, 978-3-540-77016-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Michael I. Barrett, Elizabeth J. Davidson, Leiser Silva, Geoff Walsham |
Virtualization and Institutions. (PDF / PS) ![Search on Bibsonomy](Pics/bibsonomy.png) |
Virtuality and Virtualization ![In: Virtuality and Virtualization, Proceedings of the International Federation of Information Processing Working Groups 8.2 on Information Systems and Organizations and 9.5 on Virtuality and Society, July 29-31, 2007, Portland, Oregon, USA, pp. 369-372, 2007, Springer, 978-0-387-73024-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Yingbin Liu, Stephen Mann |
Approximate continuity for parametric Bézier patches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Symposium on Solid and Physical Modeling ![In: Proceedings of the 2007 ACM Symposium on Solid and Physical Modeling, Beijing, China, June 4-6, 2007, pp. 315-321, 2007, ACM, 978-1-59593-666-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
triangular Bézier patch, surface fitting, G1 continuity |
14 | Ye Yun, Xinghao Jiang, Tanfeng Sun, Jianhua Li 0001 |
An Efficient Video Watermarking Scheme with Luminance Differential DC Coefficient Modification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PCM ![In: Advances in Multimedia Information Processing - PCM 2007, 8th Pacific Rim Conference on Multimedia, Hong Kong, China, December 11-14, 2007, Proceedings, pp. 437-440, 2007, Springer, 978-3-540-77254-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
real-time embedding, luminance differential DC coefficient, binary dither modulation, adaptive quantization step, Video watermarking |
14 | Qingxi Hu, Hongfei Yang, Yuan Yao 0010 |
A Software Method to Model and Fabricate the Defective Bone Repair Bioscaffold Using in Tissue Engineering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LSMS (2) ![In: Life System Modeling and Simulation, International Conference, LSMS 2007, Shanghai, China, September 14-17, 2007, Proceedings, pp. 445-452, 2007, Springer, 978-3-540-74770-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Martin G. Curley |
Introducing an IT Capability Maturity Framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICEIS (Selected Papers) ![In: Enterprise Information Systems, 9th International Conference, ICEIS 2007, Funchal, Madeira, Portugal, June 12-16, 2007, Revised Selected Papers, pp. 63-78, 2007, Springer, 978-3-540-88709-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Capability Maturity Framework, Information Technology, Business Value, IT Management |
14 | Yang Xiao 0001, Mohsen Guizani |
Optimal paging load balance with total delay constraint in macrocell-microcell hierarchical cellular networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Wirel. Commun. ![In: IEEE Trans. Wirel. Commun. 5(8), pp. 2202-2209, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Muriel Médard, R. Srikant 0001 |
Capacity of Nearly Decomposable Markovian Fading Channels Under Asymmetric Receiver-Sender Side Information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Inf. Theory ![In: IEEE Trans. Inf. Theory 52(7), pp. 3052-3062, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Bart Thijs, Wolfgang Glänzel |
The influence of author self-citations on bibliometric meso-indicators. The case of european universities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Scientometrics ![In: Scientometrics 66(1), pp. 71-80, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Götz Kappen, Tobias G. Noll |
Application specific instruction processor based implementation of a GNSS receiver on an FPGA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE Designers' Forum ![In: Proceedings of the Conference on Design, Automation and Test in Europe: Designers' Forum, DATE 2006, Munich, Germany, March 6-10, 2006, pp. 58-63, 2006, European Design and Automation Association, Leuven, Belgium, 3-9810801-0-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Amilcar do Carmo Lucas, Sven Heithecker, Peter Rüffer, Rolf Ernst, Holger Rückert, Gerhard Wischermann, Karin Gebel, Reinhard Fach, Wolfgang Huther, Stefan Eichner, Gunter Scheller |
A reconfigurable HW/SW platform for computation intensive high-resolution real-time digital film applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006, pp. 194-199, 2006, European Design and Automation Association, Leuven, Belgium, 3-9810801-1-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
digital film, stream-based architecture, weak-programming, FPGA, motion-estimation, reconfigurable |
14 | Shiliang Hu, Ilhyun Kim, Mikko H. Lipasti, James E. Smith 0001 |
An approach for implementing efficient superscalar CISC processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 12th International Symposium on High-Performance Computer Architecture, HPCA-12 2006, Austin, Texas, USA, February 11-15, 2006, pp. 41-52, 2006, IEEE Computer Society, 0-7803-9368-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Takao Terano |
Exploring the Vast Parameter Space of Multi-Agent Based Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MABS ![In: Multi-Agent-Based Simulation VII, International Workshop, MABS 2006, Hakodate, Japan, May 8, 2006, Revised and Invited Papers, pp. 1-14, 2006, Springer, 978-3-540-76536-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Multi-Agent Based Modeling, Parameter Exploration, Genetic Algorithms, Verification and Validation, Social Systems |
14 | Kaustav Banerjee, Sheng-Chih Lin, Navin Srivastava |
Electrothermal engineering in the nanometer era: from devices and interconnects to circuits and systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006, pp. 223-230, 2006, IEEE, 0-7803-9451-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Min Hu, Wei Chen 0001, Tao Zhang, Qunsheng Peng 0001 |
Direct Volume Rendering of Volumetric Protein Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer Graphics International ![In: Advances in Computer Graphics, 24th Computer Graphics International Conference, CGI 2006, Hangzhou, China, June 26-28, 2006, Proceedings, pp. 397-403, 2006, Springer, 3-540-35638-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Yanggon Kim, Juhnyoung Lee |
Web Service-based Business Process Automation Using Matching Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFIP PPAI ![In: Toward Category-Level Object Recognition, pp. 131-140, 2006, Springer, 3-540-68794-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Florent Teichteil-Königsbuch, Patrick Fabiani |
Autonomous Search and Rescue Rotorcraft Mission Stochastic Planning with Generic DBNs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFIP AI ![In: Artificial Intelligence in Theory and Practice, IFIP 19th World Computer Congress, TC 12: IFIP AI 2006 Stream, August 21-24, 2006, Santiago, Chile, pp. 483-492, 2006, Springer, 0-387-34654-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Tung-Chieh Chen, Zhe-Wei Jiang, Tien-Chang Hsu, Hsin-Chen Chen, Yao-Wen Chang |
A high-quality mixed-size analytical placer considering preplaced blocks and density constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006, pp. 187-192, 2006, ACM, 1-59593-389-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Maysam F. Abbod, I. C. Howard, Derek A. Linkens, Mahdi Mahfouf |
Multi-scale CAFE Modelling for Hot Deformation of Aluminium Alloys. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (1) ![In: Computational Science - ICCS 2006, 6th International Conference, Reading, UK, May 28-31, 2006, Proceedings, Part I, pp. 993-996, 2006, Springer, 3-540-34379-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Thorsten von Sydow, Bernd Neumann, Holger Blume, Tobias G. Noll |
Quantitative Analysis of Embedded FPGA-Architectures for Arithmetic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 2006 IEEE International Conference on Application-Specific Systems, Architecture and Processors (ASAP 2006), 11-13 September 2006, Steamboat Springs, Colorado, USA, pp. 125-131, 2006, IEEE Computer Society, 0-7695-2682-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
Displaying result #401 - #500 of 7721 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ >>] |
|