The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for register with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1954-1962 (16) 1963-1968 (19) 1969-1972 (17) 1973-1974 (19) 1975-1976 (22) 1977-1978 (30) 1979-1980 (24) 1981-1982 (24) 1983-1984 (34) 1985 (21) 1986 (28) 1987 (36) 1988 (44) 1989 (58) 1990 (81) 1991 (58) 1992 (73) 1993 (60) 1994 (78) 1995 (108) 1996 (114) 1997 (133) 1998 (144) 1999 (149) 2000 (181) 2001 (202) 2002 (220) 2003 (287) 2004 (324) 2005 (353) 2006 (361) 2007 (411) 2008 (339) 2009 (240) 2010 (99) 2011 (120) 2012 (97) 2013 (80) 2014 (84) 2015 (101) 2016 (109) 2017 (96) 2018 (87) 2019 (99) 2020 (93) 2021 (108) 2022 (108) 2023 (76) 2024 (12)
Publication types (Num. hits)
article(1717) book(2) incollection(18) inproceedings(3892) phdthesis(48)
Venues (Conferences, Journals, ...)
IEEE Trans. Comput. Aided Des....(167) IEEE Trans. Computers(128) DAC(116) CoRR(112) MICRO(112) IEEE Trans. Very Large Scale I...(96) DATE(90) ISCA(67) J. Electron. Test.(67) PLDI(66) VLSI Design(63) ISCAS(60) ICCAD(55) CC(52) ICCD(50) ASP-DAC(49) More (+10 of total 1318)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4401 occurrences of 2030 keywords

Results
Found 5686 publication records. Showing 5677 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
25Hyunyoung Lee, Jennifer L. Welch Randomized registers and iterative algorithms. Search on Bibsonomy Distributed Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF probabilistic quorums, randomization, distributed shared memory, iterative algorithms, registers
25Manoj Kumar Jain, M. Balakrishnan, Anshul Kumar Integrated On-Chip Storage Evaluation in ASIP Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Vlad Petric, Tingting Sha, Amir Roth RENO - A Rename-Based Instruction Optimizer. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Manoj Kumar Jain, M. Balakrishnan, Anshul Kumar Exploring Storage Organization in ASIP Synthesis. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Ilhyun Kim, Mikko H. Lipasti Half-Price Architecture. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Bradley C. Kuszmaul, Dana S. Henry, Gabriel H. Loh A Comparison of Asymptotically Scalable Superscalar Processors. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25T. N. Vijaykumar, Irith Pomeranz, Karl Cheng Transient-Fault Recovery Using Simultaneous Multithreading. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Han Bin Kim, Dong Sam Ha, Takeshi Takahashi 0003, Takahiro J. Yamaguchi A new approach to built-in self-testable datapath synthesis based on integer linear programming. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Indradeep Ghosh, Niraj K. Jha, Sudipta Bhawmik A BIST scheme for RTL circuits based on symbolic testabilityanalysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Hyunyoung Lee, Jennifer L. Welch Specification, implementation and application of randomized regular registers (brief announcement). Search on Bibsonomy PODC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Fidel Muradali, Takao Nishida, Tsuguo Shimizu A structure and technique for pseudorandom-based testing of sequential circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF distributed generator, Built-in self-test, design for test, pseudorandom generation, sequential test, weighted random patterns
25Marina Papatriantafilou, Philippas Tsigas How a Rainbow Coloring Function Can Simulate Wait-Free Handshaking. Search on Bibsonomy MFCS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
25Soma Chaudhuri, Mark R. Tuttle Fast Increment Registers. Search on Bibsonomy WDAG The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
25Josep Llosa, Mateo Valero, José A. B. Fortes, Eduard Ayguadé Using Sacks to Organize Registers in VLIW Machines. Search on Bibsonomy CONPAR The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
23Yonghua Hu, Xin Zhang, Shuying Wang, Wei Liang 0005, Kuan-Ching Li Research on global register allocation for code containing array-unit dual-usage register names. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
23Yahia Idriss Benalioua, Nathan Lhote, Pierre-Alain Reynier Register Minimization of Cost Register Automata over a Field. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
23Xianhua Liu 0001, Qinghong Yang, Miao Tao, Qinshu Chen, Xu Cheng An Efficient Register Renaming Technique with Delayed Allocation and Register Packing. Search on Bibsonomy ICECS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
23Naoki Fujieda, Kiyohiro Sato, Ryodai Iwamoto, Shuichi Ichikawa Evaluation of Register Number Abstraction for Enhanced Instruction Register Files. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
23Ryoma Senda, Yoshiaki Takata, Hiroyuki Seki Complexity Results on Register Context-Free Grammars and Register Tree Automata. Search on Bibsonomy ICTAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
23Mohammad Sadrosadati, Amirhossein Mirhosseini, Seyed Borna Ehsani, Hamid Sarbazi-Azad, Mario Drumond, Babak Falsafi, Rachata Ausavarungnirun, Onur Mutlu LTRF: Enabling High-Capacity Register Files for GPUs via Hardware/Software Cooperative Register Prefetching. Search on Bibsonomy ASPLOS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
23Mohammad Abdel-Majeed, Alireza Shafaei, Hyeran Jeon, Massoud Pedram, Murali Annavaram Pilot Register File: Energy Efficient Partitioned Register File for GPUs. Search on Bibsonomy HPCA The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
23Abdulaziz Eker, Yakup Murat Mert, Oguz Ergin URFA-Update based register file architecture with partial register write for energy efficiency. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
23Suranjan Ganguly, Debotosh Bhattacharjee, Mita Nasipuri Register-My-Face: a tool to register three-dimensional face images. Search on Bibsonomy J. Electronic Imaging The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
23Hiroaki Kawashima, Takahiro Sasaki, Yuki Fukazawa, Toshio Kondo Register Port Prediction for a Banked Register File. Search on Bibsonomy CANDAR The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
23Chia-Han Lu, Wen-Li Shih, Chung-Ju Wu, Jenq Kuen Lee Achieving spilling-friendly register file assignment for highly distributed register files. Search on Bibsonomy J. Supercomput. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
23Yiqun Wang, Hongyang Jia, Yongpan Liu, Qing'an Li, Chun Jason Xue, Huazhong Yang Register allocation for hybrid register architecture in nonvolatile processors. Search on Bibsonomy ISCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
23Mohammad Abdel-Majeed, Murali Annavaram Warped register file: A power efficient register file for GPGPUs. Search on Bibsonomy HPCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Wann-Yun Shieh, Bo-Syun Wang Power-aware register assignment for large register file design. Search on Bibsonomy J. Supercomput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
23Hai Lin, Tiansi Hu, Yunsi Fei A Hardware/Software Cooperative Custom Register Binding Approach for Register Spill Elimination in Application-Specific Instruction Set Processors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
23Ming-Yen Homh, Jen-Ming Wu Vector Register Design with Register Bypassing for Embedded DSP Core. Search on Bibsonomy HPCC-ICESS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
23Eva Thors Adolfsson, Andreas Rosenblad Reporting systems, reporting rates and completeness of data reported from primary healthcare to a Swedish quality register - The National Diabetes Register. Search on Bibsonomy Int. J. Medical Informatics The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Xuan Guan, Yunsi Fei Register file partitioning and recompilation for register file power reduction. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Fakhar Anjam, Stephan Wong, Faisal Nadeem A multiported register file with register renaming for configurable softcore VLIW processors. Search on Bibsonomy FPT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Wann-Yun Shieh, Shu-Yi Hsu Power-Aware Register Assignment for Multi-Banked Register Files. Search on Bibsonomy J. Inf. Sci. Eng. The full citation details ... 2009 DBLP  BibTeX  RDF
23Chia-Han Lu, Yung-Chia Lin, Yi-Ping You, Jenq Kuen Lee LC-GRFA: global register file assignment with local consciousness for VLIW DSP processors with non-uniform register files. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Hui Zeng, Kanad Ghose, Dmitry Ponomarev 0001 Register Versioning: A Low-Complexity Implementation of Register Renaming in Out-of-Order Microarchitectures. Search on Bibsonomy ICPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Zhihang Yu, Yong Yu 0001, Feilong Tang, Minyi Guo A Register Framework for Network Processors with Banked Register File. Search on Bibsonomy CISIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Wann-Yun Shieh, Chien-Chen Chen Saving Register-File Leakage Energy by Register-usage Exploiting. Search on Bibsonomy J. Inf. Sci. Eng. The full citation details ... 2008 DBLP  BibTeX  RDF
23Wann-Yun Shieh, Shu-Yi Hsu Low Power Register File Design by Power Aware Register Assignment. Search on Bibsonomy CDES The full citation details ... 2008 DBLP  BibTeX  RDF
23Wann-Yun Shieh, Chang-Yi Lee Power-aware Register Renaming for Multi-banked Register Files. Search on Bibsonomy ESA The full citation details ... 2007 DBLP  BibTeX  RDF
23Thomas Zeitlhofer, Bernhard Wess List-coloring of interval graphs with application to register assignment for heterogeneous register-set architectures. Search on Bibsonomy Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Jinpyo Park, Je-Hyung Lee, Soo-Mook Moon Register Allocation for Banked Register File. Search on Bibsonomy OM@PLDI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Guido Araujo, Sharad Malik, Mike Tien-Chien Lee Using Register-Transfer Paths in Code Generation for Heterogeneous Memory-Register Architectures. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
23Josep Llosa, Mateo Valero, Eduard Ayguadé Non-Consistent Dual Register Files to Reduce Register Pressure. Search on Bibsonomy HPCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
23K. Vidyasankar Converting Lamport's Regular Register to Atomic Register. Search on Bibsonomy Inf. Process. Lett. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
23Ingrid Y. Bucher, Margaret L. Simmons A Close Look at Vector Performance of Register-to-Register Vector Computers and a New Model. Search on Bibsonomy SIGMETRICS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
23Tomás Lang, Miquel Huguet Reduced register saving/restoring in single-window register files. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
23Manfred Weber, Susan L. Bernstein Global register allocation for non-equivalent register sets. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
22Ivan D. Baev Techniques for Region-Based Register Allocation. Search on Bibsonomy CGO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF register allocation, region-based compilation
22S. Subha A Modified Linear Scan Register Allocation Algorithm. Search on Bibsonomy ITNG The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Live range, Register allocation
22Guilin Chen, Mahmut T. Kandemir, Mary Jane Irwin, J. Ramanujam Reducing code size through address register assignment. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Software compilation, address registers, DSP, register assignment
22Dennis K. Y. Tong, Evangeline F. Y. Young Performance-driven register insertion in placement. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF post-retiming, register insertion, placement
22Yumin Zhang, Xiaobo Hu 0001, Danny Z. Chen Efficient global register allocation for minimizing energy consumption. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 2002 DBLP  DOI  BibTeX  RDF register allocation, low energy
22Glenn Altemose, Cindy Norris Register pressure responsive software pipelining. Search on Bibsonomy SAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF register allocation, software pipelining
22Gang Chen, Michael D. Smith 0001 Reorganizing global schedules for register allocation. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1999 DBLP  DOI  BibTeX  RDF superblock scheduling, instruction-level parallelism, register allocation
22Christine Makowski, Lori L. Pollock Achieving efficient register allocation via parallelism. Search on Bibsonomy SAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cique separators, parallel register allocation, graph coloring
22Alexandre E. Eichenberger, Edward S. Davidson Register allocation for predicated code. Search on Bibsonomy MICRO The full citation details ... 1995 DBLP  DOI  BibTeX  RDF hyperblocks, interference, register allocation, software pipelining, predicated execution
22Albrecht P. Stroele, Hans-Joachim Wunderlich Test register insertion with minimum hardware cost. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF test register insertion, BILBO, CBILBO, Built-in self-test
22Eric Sprangle, Yale N. Patt Facilitating superscalar processing via a combined static/dynamic register renaming scheme. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF superscalar processors, out-of-order execution, register renaming, predicated execution
22Nazar S. Haider, Nick Kanopoulos Efficient board interconnect testing using the split boundary scan register. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF IEEE std. 1149.1-1990, split boundary scan register, BIST, boundary scan
22Yehuda Afek, Gideon Stupp Synchronization power depends on the register size (Preliminary Version) Search on Bibsonomy FOCS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF synchronization registers, register size, machine instructions, multiprocessors, space complexity, multiprocessor architectures, compare and swap, synchronization primitives, complexity hierarchy
22Jacob Savir, William H. McAnney A Multiple Seed Linear Feedback Shift Register. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF multiple seed linear feedback shift register, change-of-seeds, clock pulses, built-in self test, feedback, LFSR, shift registers
22Randy Allen, Ken Kennedy Vector Register Allocation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF vector register allocation, compiling vector languages, aggressive program transformations, parallel processing, program compilers, data dependence, supercomputers
22Wei-Chung Hsu, Charles N. Fischer, James R. Goodman On the Minimization of Loads/Stores in Local Register Allocation. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF local register allocation, program esptimization, Computer architecture
22Vijay Pitchumani, Edward P. Stabler Verification of Register Transfer Level Parallel Control Sequences. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1985 DBLP  DOI  BibTeX  RDF critical region, parallel control sequence, Assertion, register transfer level, shared resource, clock cycle, auxiliary variable, verification condition
22Bella Bose, T. R. N. Rao Unidirectional Error Codes for Shift-Register Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF Arithmetic residue check, unidirectional errors, parity check, shift register memories, information rate, asymmetric errors, symmetric errors
22Yuval Tamir, Carlo H. Séquin Strategies for Managing the Register File in RISC. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF VLSI processor, Cache fetch strategies, register file management, computer architecture, RISC, procedure calls
22Vijay Pitchumani, Edward P. Stabler An Inductive Assertion Method for Register Transfer Level Design Verification. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF inductive assertion method, synchronous logic, theorem proving, Assertions, predicate calculus, register transfer level design, verification condition
22Gerhard Wustmann Comments on "Autocorrelation Function of Sequential M-Bit Words Taken from an N-Bit Shift Register (PN) Sequence". Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF shift register sequence, autocovariance function, Autocorrelation function, PN sequence
22Francis Y. L. Chin, K. Samson Fok Fast Sorting Algorithms on Uniform Ladders (Multiple Shift-Register Loops). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF uniform ladder, Bubble sort, Demuth's Algorithm, magnetic bubbles, odd-even transposition sort, shift-register loops, sorting, switches, merging, period, loading
22Svetlana P. Kartashev, Steven I. Kartashev On Modular Networks Satisfying the Shift-Register Rule. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF modular network, Augnentation of original program, interconnections satisfying shift-register rule, modular decomposition of programs, computer-aided design
22Farhad Hemmati, Daniel J. Costello Jr. An Algebraic Construction for q-ary Shift Register Sequences. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF shift register sequence, maximal length cycle, Euclidean Algorithm, Hamming weight
22Werner E. Kluge Traversing Binary Tree Structures with Shift-Register Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF Data permutations, traversal control, tree structures, shift-register memories, tree traversals
22Harold Hoehne, Robert Piloty Design Verification at the Register Transfer Language Level. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF Compiler-interpreter system, computer description language, hardware design automation, register transfer language (RTL), simulation, error detection, design verification
22Johannes Mykkeltveit Generating and Counting the Double Adjacencies in a Pure Circulating Shift Register. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF Adjacency diagram, adjacent cycles, binary cycle, de Bruijn graph, feedback shift register
22Mario Barbacci A Comparison of Register Transfer Languages for Describing Computers and Digital Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF language properties, design automation, register transfer level, design languages, structural description, behavioral description, Asynchronous control
21Matthias Braun 0003, Sebastian Hack Register Spilling and Live-Range Splitting for SSA-Form Programs. Search on Bibsonomy CC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Deniz Balkan, Joseph J. Sharkey, Dmitry Ponomarev 0001, Kanad Ghose Selective Writeback: Reducing Register File Pressure and Energy Consumption. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Weixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu Activity and register placement aware gated clock network design. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF gated clock tree, low power, placement
21Hassan A. Salamy, J. Ramanujam Optimal address register allocation for arrays in DSP applications. Search on Bibsonomy ESTIMedia The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Shuo Wang, Fan Zhang 0010, Jianwei Dai, Lei Wang 0003, Zhijie Jerry Shi Making register file resistant to power analysis attacks. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Weibo Xie, Fu Ting Design and Implementation of the Virtual Machine Constructing on Register. Search on Bibsonomy CSSE (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Tzi-cker Chiueh Fast Bounds Checking Using Debug Register. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Aaron P. Hurst, Alan Mishchenko, Robert K. Brayton Scalable min-register retiming under timing and initializability constraints. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF min-area, retiming, initial state, sequential optimization
21Aviral Shrivastava, Sanghyun Park, Eugene Earlie, Nikil D. Dutt, Alexandru Nicolau, Yunheung Paek Automatic Design Space Exploration of Register Bypasses in Embedded Processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Youcef Bouchebaba, Bruno Girodias, Fabien Coelho, Gabriela Nicolescu, El Mostapha Aboulhamid Buffer and Register Allocation for Memory Space Optimization. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF program transformation, memory hierarchy, data locality, memory optimization
21Zeming Zhang, Wenjian Luo, Xufa Wang Immune genetic programming based on register-stack structure. Search on Bibsonomy IEEE Congress on Evolutionary Computation The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Xuehai Qian, He Huang, Zhenzhong Duan, Junchao Zhang, Nan Yuan, Yongbin Zhou, Hao Zhang 0009, Huimin Cui, Dongrui Fan Optimized Register Renaming Scheme for Stack-Based x86 Operations. Search on Bibsonomy ARCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Slavisa Jovanovic, Camel Tanougast, Serge Weber A Hardware Preemptive Multitasking Mechanism Based on Scan-path Register Structure for FPGA-based Reconfigurable Systems. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Chantana Chantrapornchai, Wanlop Surakampontorn, Edwin Hsing-Mean Sha Design Exploration With Imprecise Latency and Register Constraints. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Jianping Hu, Hong Li, Yangbo Wu Low-Power Register File Based on Adiabatic Logic Circuits. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Rostislav (Reuven) Dobkin, Ran Ginosar, Avinoam Kolodny Fast Asynchronous Shift Register for Bit-Serial Communication. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Hiroshi Yamauchi, Jan Vitek Combining Offline and Online Optimizations: Register Allocation and Method Inlining. Search on Bibsonomy APLAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Lang Hames, Bernhard Scholz Nearly Optimal Register Allocation with PBQP. Search on Bibsonomy JMLC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Li Shen 0002 VFSim: Concurrent Fault Simulation at Register Transfer Level. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF concurrent fault simulation, fault model, RTL, Verilog, high-level testing, circuit modeling
21Joep L. W. Kessels Register Communication between Mutually Asynchronous Domains. Search on Bibsonomy ASYNC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Yongqiang Lu 0001, Cliff C. N. Sze, Xianlong Hong, Qiang Zhou 0001, Yici Cai, Liang Huang, Jiang Hu Register placement for low power clock network. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Il-Sun Hwang, Gi Sung Yoo, Jin-Wook Chung Efficient Mobility Management Using Dynamic Location Register in IMT-2000 Networks. Search on Bibsonomy KES (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Siddhartha Shivshankar, Sunil Vangara, Alexander G. Dean Balancing register pressure and context-switching delays in ASTI systems. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF asynchronous software thread integration, software-implemented-communication protocols, hardware to software migration, fine-grain concurrency
21Keith D. Cooper, Anshuman Dasgupta, Jason Eckhardt Revisiting Graph Coloring Register Allocation: A Study of the Chaitin-Briggs and Callahan-Koblenz Algorithms. Search on Bibsonomy LCPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 5677 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license