The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for 3DIC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2006-2009 (87) 2010 (69) 2011 (109) 2012-2013 (96) 2014 (51) 2015 (83) 2016 (51) 2017-2019 (72) 2021 (22) 2022-2023 (22) 2024 (1)
Publication types (Num. hits)
article(3) incollection(1) inproceedings(649) proceedings(10)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 19 occurrences of 12 keywords

Results
Found 663 publication records. Showing 663 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
42Yoshiaki Hagiwara Multichip CMOS Image Sensor Structure for Flash Image Acquisition. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Koutaro Hachiya, Atsushi Kurokawa Variability Cancellation to Improve Diagnostic Performance of Testing through Silicon Vias in Power Distribution Network of 3D-IC. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Tadao Nakamura An Introduction to Marching Memory (MM). Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Tadatomo Yamada, Ken Takano, Toshiaki Menjo, Shinya Takyu Study of Optimizing Stress-Strain Curve of Adhesive for High Expansion Tape. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Takuji Miki, Makoto Nagata, Akihiro Tsukioka, Noriyuki Miura, Takaaki Okidono, Yuuki Araga, Naoya Watanabe, Haruo Shimamoto, Katsuya Kikuchi Over-the-top Si Interposer Embedding Backside Buried Metal PDN to Reduce Power Supply Impedance of Large Scale Digital ICs. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Po-Chih Chen, Demin Liu, Kuan-Neng Chen Low-Temperature Wafer-Level Metal Bonding with Gold Thin Film at 100 °C. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Dimitrios Velenis, Joeri De Vos, Soon-Wook Kim, Jaber Derakhshandeh, Pieter Bex, Giovanni Capuz, Samuel Suhard, Kenneth June Rebibis, Stefaan Van Huylenbroeck, Erik Jan Marinissen, Alain Phommahaxay, Andy Miller, Gerald Beyer, Geert Van der Plas, Eric Beyne Process Complexity and Cost Considerations of Multi-Layer Die Stacks. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Shunji Kurooka, Yoshinori Hotta, Ai Nakamura, Mitsumasa Koyanagi, Takafumi Fukushima Cu-Cu Bonding Challenges with 'i-ACF' for Advanced 3D Integration. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Takahiro Nagata, Kazumichi Tsumura, Kenro Nakamura, Kengo Uchida, Jin Kawakita, Toyohiro Chikyow, Kazuyuki Higashi Photoelectroscopic Study of Mn Barrier Layer on SiO2 for Si Wafer Bonding Process. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Rui Liang, Sungho Lee, Yuki Miwa, Kousei Kumahara, Mariappan Murugesan, Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka Impacts of Deposition Temperature and Annealing Condition on Ozone-Ethylene Radical Generation-TEOS-CVD SiO2 for Low-Temperature TSV Liner Formation. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Shunsuke Hanatani, Takuya Yorioka, Tomohiro Shimizu, Takeshi Ito, Shoso Shingubara Study of MacEtch using Additives for Preparation of TSV. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Emilie Bourjot, Paul Stewart, Christophe Dubarry, E. Lagoutte, E. Rolland, Nicolas Bresson, G. Romano, D. Scevola, Viorel Balan, Jérôme Dechamp, Marc Zussy, Gaëlle Mauguen, Clément Castan, Loïc Sanchez, Amadine Jouve, Frank Fournel, Séverine Cheramy Towards a Complete Direct Hybrid Bonding D2W Integration Flow: Known-Good-Dies and Die Planarization Modules Development. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Ziyue Zhang, Yingtao Ding, Zhiming Chen 0001, Mingrui Zhou, Lei Xiao, Ziru Cai, Miao Xiong, Xiao Gong Design and Evaluation of a Novel and Ultra-Compact Fully-TGV-based Self-Shielding Bandpass Filter for 5G Applications. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42Timothy M. Hancock, Jeffrey C. Demmin Heterogeneous and 3D Integration at DARPA. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
42 2016 IEEE International 3D Systems Integration Conference, 3DIC 2016, San Francisco, CA, USA, November 8-11, 2016 Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  BibTeX  RDF
42Ephraim Suhir, Sung Yi Predicted thermal stresses in a TSV design. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42S. E. Kucuk Eroglu, W. Y. Choo, Yusuf Leblebici Copper TSV-based die-level via-last 3D integration process with parylene-C adhesive bonding technique. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42C. Hemanth Kumar, Asisa Kumar Panigrahi, Om Krishan Singh, Shiv Govind Singh Noise performance improvement through optimized stacked layer of liner structure around the TSV in 3D IC. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Stefaan Van Huylenbroeck, Yunlong Li, Michele Stucchi, Lieve Bogaerts, Joeri De Vos, Gerald Beyer, Eric Beyne, Mohand Brouri, Praveen Nalla, Sanjay Gopinath, Matthew Thorum, Joe Richardson, Jengyi Yu Continuity and reliability assessment of a scalable 3×50μm and 2×40μm via-middle TSV module. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Guillaume Berhault, Melanie Brocard, Sébastien Thuries, François Galea, Lilia Zaourar 3DIP: An iterative partitioning tool for monolithic 3D IC. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Kentaro Akiyama, Yusuke Oike, Yoshiaki Kitano, Junichiro Fjimagari, Wakiyama Satoru, Yorito Sakano, Takayuki Toyama, Hayato Iwamoto, Takayuki Ezaki, Takuya Nakamura, Tetsunori Imaizumi, Nonaka Yasuhiro A front-illuminated stacked global-shutter CMOS image sensor with multiple chip-on-chip integration. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Hao-Wen Liang, Hsiu-Chi Chen, Chien-Hung Lin, Chia-Lin Lee, Shan-Chun Yang, Kuan-Neng Chen The influence of device morphology on wafer-level bonding with polymer-coated layer. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Brian Mattis, Lovelace Soirez, Catherine Bullock, Dave Martini, Sara Jensen, James Levy, Adam Jones Front-side mid-level Tungsten TSV integration for high-density 3D applications. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Suraj Patil, Asisa Kumar Panigrahi, Satish Bonam, C. Hemanth Kumar, Om Krishan Singh, Shiv Govind Singh Improved noise coupling performance using optimized Teflon liner with different TSV structures for 3D IC integration. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42C. Roda Neve, Mikael Detalle, Philip Nolmans, Yunlong Li, Joeri De Vos, Geert Van der Plas, Gerald Beyer, Eric Beyne High-density and low-leakage novel embedded 3D MIM capacitor on Si interposer. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Jaber Derakhshandeh, Lin Hou, Inge De Preter, Carine Gerets, Samuel Suhard, Vikas Dubey, Geraldine Jamieson, Fumihiro Inoue, Tomas Webers, Pieter Bex, Giovanni Capuz, Eric Beyne, John Slabbekoorn, Teng Wang, Anne Jourdain, Gerald Beyer, Kenneth June Rebibis, Andy Miller Die to wafer 3D stacking for below 10um pitch microbumps. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Gerald Cibrario, Nour Ben Salem, Joris Lacord, Karim Azizi-Mourier, Olivier Rozeau, Etienne Maurin, Olivier Billoint, Sébastien Thuries, Alexandre Valentian From 2D to monolithic 3D predictive design platform: An innovative migration methodology for benchmark purpose. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Cristiano Santos, Pascal Vivet, Sébastien Thuries, Olivier Billoint, Jean-Philippe Colonna, Perceval Coudrain, Lee Wang Thermal performance of CoolCube™ monolithic and TSV-based 3D integration processes. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Montserrat Fernandez-Bolaños, Wolfgang A. Vitale, Mariazel Maqueda Lopez, Adrian M. Ionescu, Armin Klumpp, Karl-Reinhard Merkel, Josef Weber, Peter Ramm, Ilja Ocket, Walter De Raedt, Amin Enayati 3D TSV based high frequency components for RF IC and RF MEMS applications. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Makoto Motoyoshi, Kohki Yanagimura, Taikoh Fushimi, Junichi Takanohashi, Mariappan Murugesan, Masahiro Aoyagi, Mitsumasa Koyanagi 3 Dimensional stacked pixel detector and sensor technology using less than 3-μmφ robust bump junctions. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Kumail Khurram, Asisa Kumar Panigrahi, Satish Bonam, Om Krishan Singh, Shiv Govind Singh Novel inter layer dielectric and thermal TSV material for enhanced heat mitigation in 3-D IC. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Gilad Yahalom, Stacy Ho, Alice Wang, Uming Ko, Anantha P. Chandrakasan Analog-digital partitioning and coupling in 3D-IC for RF applications. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Suraj Singh, Asisa Kumar Panigrahi, Om Krishan Singh, Shiv Govind Singh Analysis of graphene and CNT based finned TTSV and spreaders for thermal management in 3D IC. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Subin Kim, Youngwoo Kim, Kyungjun Cho, Jinwook Song, Joungho Kim Design and analysis of on-interposer active power distribution network for an efficient simultaneous switching noise suppression in 2.5D IC. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Séverine Cheramy, Amadine Jouve, Lucile Arnaud, Claire Fenouillet-Béranger, Perrine Batude, Maud Vinet Towards high density 3D interconnections. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Muhammad Waqas Chaudhary, Andy Heinig, Michael Dittrich Interposer based integration to achieve high speed interfaces for ADC application. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Hantao Huang, Leibin Ni, Yuhao Wang 0002, Hao Yu 0001, Zongwei Wang, Yimao Cai, Ru Huang A 3D multi-layer CMOS-RRAM accelerator for neural network. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Mariappan Murugesan, Jichel Bea, Takafumi Fukushima, Makoto Motoyoshi, Tetsu Tanaka, Mitsumasa Koyanagi Improving the integrity of Ti barrier layer in Cu-TSVs through self-formed TiSix for via-last TSV technology. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42William Wahby, Thomas E. Sarvey, Hardik Sharma, Hadi Esmaeilzadeh, Muhannad S. Bakir The impact of 3D stacking on GPU-accelerated deep neural networks: An experimental study. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Yang Zhang, Xuchen Zhang, William Wahby, Muhannad S. Bakir Design considerations for 2.5-D and 3-D integration accounting for thermal constraints. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Naoya Watanabe, Haruo Shimamoto, Katsuya Kikuchi, Masahiro Aoyagi, Hidekazu Kikuchi, Azusa Yanagisawa, Akio Nakamura Wet cleaning process for high-yield via-last TSV formation. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Yangyang Yan, Ziyue Zhang, Zhiqiang Cheng, Lingfeng Zhou, Zhiming Chen 0001, Yingtao Ding Low cost polyimide liner formation with vacuum-assisted spin coating for through-silicon-vias. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Rosa R. Lahiji, Timothy T. Lee, Warren P. Snapp 3D integration and challenges for advanced RF and microwave systems: EDA perspective. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Anne Jourdain, Joeri De Vos, Fumihiro Inoue, Kenneth J. Rebibis, Andy Miller, Gerald Beyer, Eric Beyne, Edward Walsby, Jash Patel, Oliver Ansell, Janet Hopkins, Huma Ashraf, Dave Thomas Extreme wafer thinning optimization for via-last applications. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Reynard Blasa, Brian Mattis, Dave Martini, Sidi Lanee, Carl Petteway, Sangki Hong, Kangsoo Yi High density backside tungsten TSV for 3D stacked ICs. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Fang Qiao, Ilgweon Kang, Daniel Kane 0001, Fung Yu Young, Chung-Kuan Cheng, Ronald L. Graham 3D floorplan representations: Corner links and partial order. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Ye Lin, Chuan Seng Tan Through-substrate via (TSV) with embedded capacitor as an on-chip energy storage element. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Didier Lattard, Lucile Arnaud, Arnaud Garnier, Nicolas Bresson, Franck Bana, R. Segaud, Amadine Jouve, H. Jacquinot, Stéphane Moreau, Karim Azizi-Mourier, C. Chantre, Pascal Vivet, Gaël Pillonnet, F. Casset, F. Ponthenier, Alexis Farcy, S. Lhostis, Jean Michailos, Alexandre Arriordaz, Séverine Cheramy ITAC: A complete 3D integration test platform. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Michael Scheuermann, Shurong Tian, Raphael Robertazzi, Matthew R. Wordeman, C. Bergeron, H. Jacobson, Phillip J. Restle, Joel Silberman, Christy Tyberg Thermal analysis of multi-layer functional 3D logic stacks. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Kang Wook Lee 0002, Ai Nakamura, Jicheol Bea, Takafumi Fukushima, Suresh Ramalingam, Xin Wu, Tanaka Tanaka, Mitsumasa Koyanagi Nano-scale Cu direct bonding using ultra-high density Cu nano-pillar (CNP) for high yield exascale 2.5/3D integration applications. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Rafael Prieto, Perceval Coudrain, Jean-Philippe Colonna, Y. Hallez, Christian Chancel, V. Rat, Sylvain Dumas, G. Romano, R. Franiatte, C. Brunet-Manquiat, Séverine Cheramy, Alexis Farcy Heat spreading packaging solutions for hybrid bonded 3D-ICs. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Luke England, Sukeshwar Kannan, Rahul Agarwal, Daniel Smith Impact of TSV integration on 14nm FinFET device performance. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Joeri De Vos, Lan Peng, Alain Phommahaxay, Joost Van Ongeval, Andy Miller, Eric Beyne, Florian Kurz, Thomas Wagenleiter, Markus Wimplinger, Thomas Uhrmann Importance of alignment control during permanent bonding and its impact on via-last alignment for high density 3D interconnects. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Makoto Suwada, Kazuhiro Kanai Considerations of TSV effects on next-generation super-high-speed transmission and power integrity design for 300A-class 2.5D and 3D package integration. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka Drastic reduction of keep-out-zone in 3D-IC by local stress suppression with negative-CTE filler. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Asisa Kumar Panigrahi, Satish Bonam, Tamal Ghosh, Siva Rama Krishna Vanjari, Shiv Govind Singh Low temperature CMOS compatible Cu-Cu thermo-compression bonding with constantan alloy passivation for 3D IC integration. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Rosa R. Lahiji, Timothy T. Lee, Warren P. Snapp 3D integration and challenges for advanced RF and microwave systems: EDA perspective. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Yu-Tao Yang, Yu-Chen Hu, Kuan-Neng Chen Reliability investigation and mechanism analysis for a novel bonding method of flexible substrate in 3D integration. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Randy Widialaksono, Rangeen Basu Roy Chowdhury, Zhenqian Zhang, Joshua Schabel, Steve Lipa, Eric Rotenberg, W. Rhett Davis, Paul D. Franzon Physical design of a 3D-stacked heterogeneous multi-core processor. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Ryusuke Egawa, Wataru Uno, Masayuki Sato 0001, Hiroaki Kobayashi, Jubee Tada A power-aware LLC control mechanism for the 3D-stacked memory system. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Takafumi Fukushima, Mariappan Murugesan, Shin Ohsaki, Hiroyuki Hashimoto, Jichoel Bea, Kang Wook Lee 0002, Tetsu Tanaka, Mitsumasa Koyanagi New concept of TSV formation methodology using Directed Self-Assembly (DSA). Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Séverine Cheramy, Amandine Jouve, Lucile Arnaud, Claire Fenouillet-Béranger, Perrine Batude, Maud Vinet Towards high density 3D interconnections. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42Hideki Kitada, Hiroko Tashiro, Shoichi Miyahara, Takeshi Ishitsuka, Aki Dote, Shinji Tadaki, Tatsumi Nakada, Seiki Sakuyama Study of MOSFET thermal stability with TSV in operation temperature using novel 3D-LSI stress analysis. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
42 2015 International 3D Systems Integration Conference, 3DIC 2015, Sendai, Japan, August 31 - September 2, 2015 Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  BibTeX  RDF
42Tomoji Nakamura, Yoriko Mizushima, Young-Suk Kim, Ryuichi Sugie, Takayuki Ohba Characterization of stress distribution in ultra-thinned DRAM wafer. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Cristiano Santos, Rafael Prieto, Pascal Vivet, Jean-Philippe Colonna, Perceval Coudrain, Ricardo Reis 0001 Graphite-based heat spreaders for hotspot mitigation in 3D ICs. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Hyunsuk Lee, Kyungjun Cho, Heegon Kim, Sumin Choi, Jaemin Lim, Joungho Kim Electrical performance of high bandwidth memory (HBM) interposer channel in terabyte/s bandwidth graphics module. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Subramanian S. Iyer Invited talk: Some challenges in scaling 3D ICs to a broader application set. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Hossam Sarhan, Sébastien Thuries, Olivier Billoint, Fabien Deprat, Alexandre Ayres De Sousa, Perrine Batude, Claire Fenouillet-Béranger, Fabien Clermidy Intermediate BEOL process influence on power and performance for 3DVLSI. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Takahide Murayama, Yasuhiro Morikawa TSV etching and VDP process integration for high reliability. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Armin Grünewald, Michael G. Wahl, Rainer Brück 0001 Cost modeling and analysis for the design, manufacturing and test of 3D-ICs. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Tung Thanh Bui 0001, Naoya Watanabe, Masahiro Aoyagi, Katsuya Kikuchi Twice-etched silicon approach for via-last through-silicon-via with a Parylene-HT liner. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Pascal Vivet, Christian Bernard, Fabien Clermidy, Denis Dutoit, Eric Guthmuller, Ivan Miro Panades, Gaël Pillonnet, Yvain Thonnart, Arnaud Garnier, Didier Lattard, Amandine Jouve, Franck Bana, Thierry Mourier, Séverine Cheramy 3D advanced integration technology for heterogeneous systems. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Chuan-An Cheng, Ryuichi Sugie, Tomoyuki Uchida, Kou-Hua Chen, Chi-Tsung Chiu, Kuan-Neng Chen Electrical investigation of Cu pumping in through-silicon vias for BEOL reliability in 3D integration. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Noboru Asahi, Yoshinori Miyamoto, Masatsugu Nimura, Yoshihito Mizutani, Yoshiyuki Arai High productivity thermal compression bonding for 3D-IC. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Rozalia Beica 3D integration: Applications and market trends. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Xin Wu 3D-IC technologies and 3D FPGA. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Insu Hwang, Jihye Kim, Youngwoo Kim, Jonghyun Cho, Joungho Kim Noise coupling modeling and analysis of through glass via(TGV). Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Andy Heinig, Robert Fischbach Enabling automatic system design optimization through Assembly Design Kits. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Robert Patti Invited talk: Progress in 3D integrated circuits. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Daisuke Suga, Masaki Hashizume, Hiroyuki Yotsuyanagi, Shyue-Kung Lu Electrical interconnect test method of 3D ICs by injected charge volume. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Daniel H. Jung, Heegon Kim, Jonghoon J. Kim, Sukjin Kim, Joungho Kim, Hyun-Cheol Bae, Kwang-Seong Choi Modeling and analysis of defects in through silicon via channel for non-invasive fault isolation. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Andy Heinig, Muhammad Waqas Chaudhary, Peter Schneider, Peter Ramm, Josef Weber Current and future 3D activities at Fraunhofer. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Tsung-Yen Tsai, Chien-Hung Lin, Chia-Lin Lee, Shan-Chun Yang, Kuan-Neng Chen An ultra-fast temporary bonding and release process based on thin photolysis polymer in 3D integration. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Jiatong Liu, Ken Suzuki, Hideo Miura Variation of thermal stress in TSV structures caused by crystallinity of electroplated copper interconnections. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Ryouya Shirahama, Sethavut Duangchan, Yusuke Koishikawa, Akiyoshi Baba Influential factors in low-temperature direct bonding of silicon dioxide. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Hiroyuki Yotsuyanagi, Akihiro Fujiwara, Masaki Hashizume On TSV array defect detection method using two ring-oscillators considering signal transitions at adjacent TSVs. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Kazuo Kondo, Shingo Mukahara, Masayuki Yokoi, Jin Onuki No pumping at 450°C with electrodeposited copper TSV. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Daniel S. Green, Carl L. Dohrman, Jeffrey Demmin, Tsu-Hsi Chang Path to 3D heterogeneous integration. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Takafumi Fukushima, Taku Suzuki, Hideto Hashiguchi, Chisato Nagai, Jichoel Bea, Hiroyuki Hashimoto, Mariappan Murugesan, Kang Wook Lee 0002, Tetsu Tanaka, Kazushi Asami, Yasuhiro Kitamura, Mitsumasa Koyanagi Transfer and non-transfer stacking technologies based on chip-to-wafer self-asembly for high-throughput and high-precision alignment and microbump bonding. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Jin Kawakita, Barbara Horváth, Toyohiro Chikyow Fast filling of through-silicon via (TSV) with conductive polymer/metal composites. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Sumin Choi, Heegon Kim, Daniel H. Jung, Jonghoon J. Kim, Jaemin Lim, Hyunsuk Lee, Kyungjun Cho, Joungho Kim, Hyungsoo Kim, Yong-Ju Kim, Yunsaing Kim Crosstalk-included eye-diagram estimation for high-speed silicon, organic, and glass interposer channels on 2.5D/3D IC. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Samson Melamed, Katsuya Kikuchi, Masahiro Aoyagi Investigation of effects of metalization on heat spreading in bump-bonded 3D systems. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Eric J. Wyers, T. Robert Harris, Wallace Shep Pitts, Jordan E. Massad, Paul D. Franzon Characterization of the mechanical stress impact on device electrical performance in the CMOS and III-V HEMT/HBT heterogeneous integration environment. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Kosuke Yamashita, Shunji Kurooka, Koji Shirakawa, Yoshinori Hotta, Hirofumi Abe Copper-filled anodized aluminum oxide a potential material for chip to chip bonding. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Jubee Tada, Ryusuke Egawa, Hiroaki Kobayashi Design of a 3-D stacked floating-point Goldschmidt divider. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Hanju Oh, Gary S. May, Muhannad S. Bakir Silicon interposer platform with low-loss through-silicon vias using air. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Kuniaki Sueoka, Akihiro Horibe, T. Aoki, Sayuri Kohara, Kazushige Toriyama, Hiroyuki Mori, Yasumitsu Orii Vertical integration after stacking (ViaS) process for low-cost and low-stress 3D silicon integration. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Sayuri Kohara, Keishi Okamoto, Hirokazu Noma, Kazushige Toriyama, Hiroyuki Mori Warpage analysis of organic substrates for 2.1D packaging. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
42Mariappan Murugesan, Jichoel Bea, Hiroyuki Hashimoto, K. W. Lee, Mitsu Koyanagi, Takafumi Fukushima, Tetsu Tanaka Mitigating thermo mechanical stress in high-density 3D-LSI through dielectric liners in Cu- through silicon Via _ µ-RS and µ-XRD study. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 663 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license