The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "3DIC"( http://dblp.L3S.de/Venues/3DIC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/3dic

Publication years (Num. hits)
2009 (82) 2010 (67) 2011 (108) 2012-2013 (91) 2014 (47) 2015 (81) 2016 (49) 2019 (69) 2021 (18) 2023 (13)
Publication types (Num. hits)
inproceedings(615) proceedings(10)
Venues (Conferences, Journals, ...)
3DIC(625)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 625 publication records. Showing 625 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Ephraim Suhir, Sung Yi Predicted thermal stresses in a TSV design. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1S. E. Kucuk Eroglu, W. Y. Choo, Yusuf Leblebici Copper TSV-based die-level via-last 3D integration process with parylene-C adhesive bonding technique. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1C. Hemanth Kumar, Asisa Kumar Panigrahi, Om Krishan Singh, Shiv Govind Singh Noise performance improvement through optimized stacked layer of liner structure around the TSV in 3D IC. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Stefaan Van Huylenbroeck, Yunlong Li, Michele Stucchi, Lieve Bogaerts, Joeri De Vos, Gerald Beyer, Eric Beyne, Mohand Brouri, Praveen Nalla, Sanjay Gopinath, Matthew Thorum, Joe Richardson, Jengyi Yu Continuity and reliability assessment of a scalable 3×50μm and 2×40μm via-middle TSV module. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Guillaume Berhault, Melanie Brocard, Sébastien Thuries, François Galea, Lilia Zaourar 3DIP: An iterative partitioning tool for monolithic 3D IC. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kentaro Akiyama, Yusuke Oike, Yoshiaki Kitano, Junichiro Fjimagari, Wakiyama Satoru, Yorito Sakano, Takayuki Toyama, Hayato Iwamoto, Takayuki Ezaki, Takuya Nakamura, Tetsunori Imaizumi, Nonaka Yasuhiro A front-illuminated stacked global-shutter CMOS image sensor with multiple chip-on-chip integration. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hao-Wen Liang, Hsiu-Chi Chen, Chien-Hung Lin, Chia-Lin Lee, Shan-Chun Yang, Kuan-Neng Chen The influence of device morphology on wafer-level bonding with polymer-coated layer. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Brian Mattis, Lovelace Soirez, Catherine Bullock, Dave Martini, Sara Jensen, James Levy, Adam Jones Front-side mid-level Tungsten TSV integration for high-density 3D applications. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Suraj Patil, Asisa Kumar Panigrahi, Satish Bonam, C. Hemanth Kumar, Om Krishan Singh, Shiv Govind Singh Improved noise coupling performance using optimized Teflon liner with different TSV structures for 3D IC integration. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1C. Roda Neve, Mikael Detalle, Philip Nolmans, Yunlong Li, Joeri De Vos, Geert Van der Plas, Gerald Beyer, Eric Beyne High-density and low-leakage novel embedded 3D MIM capacitor on Si interposer. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jaber Derakhshandeh, Lin Hou, Inge De Preter, Carine Gerets, Samuel Suhard, Vikas Dubey, Geraldine Jamieson, Fumihiro Inoue, Tomas Webers, Pieter Bex, Giovanni Capuz, Eric Beyne, John Slabbekoorn, Teng Wang, Anne Jourdain, Gerald Beyer, Kenneth June Rebibis, Andy Miller Die to wafer 3D stacking for below 10um pitch microbumps. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Gerald Cibrario, Nour Ben Salem, Joris Lacord, Karim Azizi-Mourier, Olivier Rozeau, Etienne Maurin, Olivier Billoint, Sébastien Thuries, Alexandre Valentian From 2D to monolithic 3D predictive design platform: An innovative migration methodology for benchmark purpose. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Cristiano Santos, Pascal Vivet, Sébastien Thuries, Olivier Billoint, Jean-Philippe Colonna, Perceval Coudrain, Lee Wang Thermal performance of CoolCube™ monolithic and TSV-based 3D integration processes. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Montserrat Fernandez-Bolaños, Wolfgang A. Vitale, Mariazel Maqueda Lopez, Adrian M. Ionescu, Armin Klumpp, Karl-Reinhard Merkel, Josef Weber, Peter Ramm, Ilja Ocket, Walter De Raedt, Amin Enayati 3D TSV based high frequency components for RF IC and RF MEMS applications. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Makoto Motoyoshi, Kohki Yanagimura, Taikoh Fushimi, Junichi Takanohashi, Mariappan Murugesan, Masahiro Aoyagi, Mitsumasa Koyanagi 3 Dimensional stacked pixel detector and sensor technology using less than 3-μmφ robust bump junctions. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kumail Khurram, Asisa Kumar Panigrahi, Satish Bonam, Om Krishan Singh, Shiv Govind Singh Novel inter layer dielectric and thermal TSV material for enhanced heat mitigation in 3-D IC. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Gilad Yahalom, Stacy Ho, Alice Wang, Uming Ko, Anantha P. Chandrakasan Analog-digital partitioning and coupling in 3D-IC for RF applications. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Suraj Singh, Asisa Kumar Panigrahi, Om Krishan Singh, Shiv Govind Singh Analysis of graphene and CNT based finned TTSV and spreaders for thermal management in 3D IC. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Subin Kim, Youngwoo Kim, Kyungjun Cho, Jinwook Song, Joungho Kim Design and analysis of on-interposer active power distribution network for an efficient simultaneous switching noise suppression in 2.5D IC. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Séverine Cheramy, Amadine Jouve, Lucile Arnaud, Claire Fenouillet-Béranger, Perrine Batude, Maud Vinet Towards high density 3D interconnections. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Muhammad Waqas Chaudhary, Andy Heinig, Michael Dittrich Interposer based integration to achieve high speed interfaces for ADC application. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hantao Huang, Leibin Ni, Yuhao Wang 0002, Hao Yu 0001, Zongwei Wang, Yimao Cai, Ru Huang A 3D multi-layer CMOS-RRAM accelerator for neural network. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mariappan Murugesan, Jichel Bea, Takafumi Fukushima, Makoto Motoyoshi, Tetsu Tanaka, Mitsumasa Koyanagi Improving the integrity of Ti barrier layer in Cu-TSVs through self-formed TiSix for via-last TSV technology. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1William Wahby, Thomas E. Sarvey, Hardik Sharma, Hadi Esmaeilzadeh, Muhannad S. Bakir The impact of 3D stacking on GPU-accelerated deep neural networks: An experimental study. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yang Zhang, Xuchen Zhang, William Wahby, Muhannad S. Bakir Design considerations for 2.5-D and 3-D integration accounting for thermal constraints. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Naoya Watanabe, Haruo Shimamoto, Katsuya Kikuchi, Masahiro Aoyagi, Hidekazu Kikuchi, Azusa Yanagisawa, Akio Nakamura Wet cleaning process for high-yield via-last TSV formation. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yangyang Yan, Ziyue Zhang, Zhiqiang Cheng, Lingfeng Zhou, Zhiming Chen 0001, Yingtao Ding Low cost polyimide liner formation with vacuum-assisted spin coating for through-silicon-vias. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Rosa R. Lahiji, Timothy T. Lee, Warren P. Snapp 3D integration and challenges for advanced RF and microwave systems: EDA perspective. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Anne Jourdain, Joeri De Vos, Fumihiro Inoue, Kenneth J. Rebibis, Andy Miller, Gerald Beyer, Eric Beyne, Edward Walsby, Jash Patel, Oliver Ansell, Janet Hopkins, Huma Ashraf, Dave Thomas Extreme wafer thinning optimization for via-last applications. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Reynard Blasa, Brian Mattis, Dave Martini, Sidi Lanee, Carl Petteway, Sangki Hong, Kangsoo Yi High density backside tungsten TSV for 3D stacked ICs. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Fang Qiao, Ilgweon Kang, Daniel Kane 0001, Fung Yu Young, Chung-Kuan Cheng, Ronald L. Graham 3D floorplan representations: Corner links and partial order. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ye Lin, Chuan Seng Tan Through-substrate via (TSV) with embedded capacitor as an on-chip energy storage element. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Didier Lattard, Lucile Arnaud, Arnaud Garnier, Nicolas Bresson, Franck Bana, R. Segaud, Amadine Jouve, H. Jacquinot, Stéphane Moreau, Karim Azizi-Mourier, C. Chantre, Pascal Vivet, Gaël Pillonnet, F. Casset, F. Ponthenier, Alexis Farcy, S. Lhostis, Jean Michailos, Alexandre Arriordaz, Séverine Cheramy ITAC: A complete 3D integration test platform. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Michael Scheuermann, Shurong Tian, Raphael Robertazzi, Matthew R. Wordeman, C. Bergeron, H. Jacobson, Phillip J. Restle, Joel Silberman, Christy Tyberg Thermal analysis of multi-layer functional 3D logic stacks. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kang Wook Lee 0002, Ai Nakamura, Jicheol Bea, Takafumi Fukushima, Suresh Ramalingam, Xin Wu, Tanaka Tanaka, Mitsumasa Koyanagi Nano-scale Cu direct bonding using ultra-high density Cu nano-pillar (CNP) for high yield exascale 2.5/3D integration applications. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Rafael Prieto, Perceval Coudrain, Jean-Philippe Colonna, Y. Hallez, Christian Chancel, V. Rat, Sylvain Dumas, G. Romano, R. Franiatte, C. Brunet-Manquiat, Séverine Cheramy, Alexis Farcy Heat spreading packaging solutions for hybrid bonded 3D-ICs. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Luke England, Sukeshwar Kannan, Rahul Agarwal, Daniel Smith Impact of TSV integration on 14nm FinFET device performance. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Joeri De Vos, Lan Peng, Alain Phommahaxay, Joost Van Ongeval, Andy Miller, Eric Beyne, Florian Kurz, Thomas Wagenleiter, Markus Wimplinger, Thomas Uhrmann Importance of alignment control during permanent bonding and its impact on via-last alignment for high density 3D interconnects. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Makoto Suwada, Kazuhiro Kanai Considerations of TSV effects on next-generation super-high-speed transmission and power integrity design for 300A-class 2.5D and 3D package integration. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka Drastic reduction of keep-out-zone in 3D-IC by local stress suppression with negative-CTE filler. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Asisa Kumar Panigrahi, Satish Bonam, Tamal Ghosh, Siva Rama Krishna Vanjari, Shiv Govind Singh Low temperature CMOS compatible Cu-Cu thermo-compression bonding with constantan alloy passivation for 3D IC integration. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Rosa R. Lahiji, Timothy T. Lee, Warren P. Snapp 3D integration and challenges for advanced RF and microwave systems: EDA perspective. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yu-Tao Yang, Yu-Chen Hu, Kuan-Neng Chen Reliability investigation and mechanism analysis for a novel bonding method of flexible substrate in 3D integration. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Randy Widialaksono, Rangeen Basu Roy Chowdhury, Zhenqian Zhang, Joshua Schabel, Steve Lipa, Eric Rotenberg, W. Rhett Davis, Paul D. Franzon Physical design of a 3D-stacked heterogeneous multi-core processor. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ryusuke Egawa, Wataru Uno, Masayuki Sato 0001, Hiroaki Kobayashi, Jubee Tada A power-aware LLC control mechanism for the 3D-stacked memory system. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Takafumi Fukushima, Mariappan Murugesan, Shin Ohsaki, Hiroyuki Hashimoto, Jichoel Bea, Kang Wook Lee 0002, Tetsu Tanaka, Mitsumasa Koyanagi New concept of TSV formation methodology using Directed Self-Assembly (DSA). Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Séverine Cheramy, Amandine Jouve, Lucile Arnaud, Claire Fenouillet-Béranger, Perrine Batude, Maud Vinet Towards high density 3D interconnections. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hideki Kitada, Hiroko Tashiro, Shoichi Miyahara, Takeshi Ishitsuka, Aki Dote, Shinji Tadaki, Tatsumi Nakada, Seiki Sakuyama Study of MOSFET thermal stability with TSV in operation temperature using novel 3D-LSI stress analysis. Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1 2016 IEEE International 3D Systems Integration Conference, 3DIC 2016, San Francisco, CA, USA, November 8-11, 2016 Search on Bibsonomy 3DIC The full citation details ... 2016 DBLP  BibTeX  RDF
1Tomoji Nakamura, Yoriko Mizushima, Young-Suk Kim, Ryuichi Sugie, Takayuki Ohba Characterization of stress distribution in ultra-thinned DRAM wafer. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Cristiano Santos, Rafael Prieto, Pascal Vivet, Jean-Philippe Colonna, Perceval Coudrain, Ricardo Reis 0001 Graphite-based heat spreaders for hotspot mitigation in 3D ICs. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hyunsuk Lee, Kyungjun Cho, Heegon Kim, Sumin Choi, Jaemin Lim, Joungho Kim Electrical performance of high bandwidth memory (HBM) interposer channel in terabyte/s bandwidth graphics module. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Subramanian S. Iyer Invited talk: Some challenges in scaling 3D ICs to a broader application set. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hossam Sarhan, Sébastien Thuries, Olivier Billoint, Fabien Deprat, Alexandre Ayres De Sousa, Perrine Batude, Claire Fenouillet-Béranger, Fabien Clermidy Intermediate BEOL process influence on power and performance for 3DVLSI. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Takahide Murayama, Yasuhiro Morikawa TSV etching and VDP process integration for high reliability. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Armin Grünewald, Michael G. Wahl, Rainer Brück 0001 Cost modeling and analysis for the design, manufacturing and test of 3D-ICs. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tung Thanh Bui 0001, Naoya Watanabe, Masahiro Aoyagi, Katsuya Kikuchi Twice-etched silicon approach for via-last through-silicon-via with a Parylene-HT liner. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Pascal Vivet, Christian Bernard, Fabien Clermidy, Denis Dutoit, Eric Guthmuller, Ivan Miro Panades, Gaël Pillonnet, Yvain Thonnart, Arnaud Garnier, Didier Lattard, Amandine Jouve, Franck Bana, Thierry Mourier, Séverine Cheramy 3D advanced integration technology for heterogeneous systems. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chuan-An Cheng, Ryuichi Sugie, Tomoyuki Uchida, Kou-Hua Chen, Chi-Tsung Chiu, Kuan-Neng Chen Electrical investigation of Cu pumping in through-silicon vias for BEOL reliability in 3D integration. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Noboru Asahi, Yoshinori Miyamoto, Masatsugu Nimura, Yoshihito Mizutani, Yoshiyuki Arai High productivity thermal compression bonding for 3D-IC. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Rozalia Beica 3D integration: Applications and market trends. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xin Wu 3D-IC technologies and 3D FPGA. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Gopi Neela, Jeffrey Draper Congestion-aware optimal techniques for assigning inter-tier signals to 3D-vias in a 3DIC. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Insu Hwang, Jihye Kim, Youngwoo Kim, Jonghyun Cho, Joungho Kim Noise coupling modeling and analysis of through glass via(TGV). Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Andy Heinig, Robert Fischbach Enabling automatic system design optimization through Assembly Design Kits. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Robert Patti Invited talk: Progress in 3D integrated circuits. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Daisuke Suga, Masaki Hashizume, Hiroyuki Yotsuyanagi, Shyue-Kung Lu Electrical interconnect test method of 3D ICs by injected charge volume. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1T. Robert Harris, Eric J. Wyers, Lee Wang, Samuel Graham, Georges Pavlidis, Paul D. Franzon, W. Rhett Davis Thermal simulation of heterogeneous GaN/ InP/silicon 3DIC stacks. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Daniel H. Jung, Heegon Kim, Jonghoon J. Kim, Sukjin Kim, Joungho Kim, Hyun-Cheol Bae, Kwang-Seong Choi Modeling and analysis of defects in through silicon via channel for non-invasive fault isolation. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Andy Heinig, Muhammad Waqas Chaudhary, Peter Schneider, Peter Ramm, Josef Weber Current and future 3D activities at Fraunhofer. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tsung-Yen Tsai, Chien-Hung Lin, Chia-Lin Lee, Shan-Chun Yang, Kuan-Neng Chen An ultra-fast temporary bonding and release process based on thin photolysis polymer in 3D integration. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jiatong Liu, Ken Suzuki, Hideo Miura Variation of thermal stress in TSV structures caused by crystallinity of electroplated copper interconnections. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ryouya Shirahama, Sethavut Duangchan, Yusuke Koishikawa, Akiyoshi Baba Influential factors in low-temperature direct bonding of silicon dioxide. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hiroyuki Yotsuyanagi, Akihiro Fujiwara, Masaki Hashizume On TSV array defect detection method using two ring-oscillators considering signal transitions at adjacent TSVs. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kazuo Kondo, Shingo Mukahara, Masayuki Yokoi, Jin Onuki No pumping at 450°C with electrodeposited copper TSV. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Daniel S. Green, Carl L. Dohrman, Jeffrey Demmin, Tsu-Hsi Chang Path to 3D heterogeneous integration. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Takafumi Fukushima, Taku Suzuki, Hideto Hashiguchi, Chisato Nagai, Jichoel Bea, Hiroyuki Hashimoto, Mariappan Murugesan, Kang Wook Lee 0002, Tetsu Tanaka, Kazushi Asami, Yasuhiro Kitamura, Mitsumasa Koyanagi Transfer and non-transfer stacking technologies based on chip-to-wafer self-asembly for high-throughput and high-precision alignment and microbump bonding. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jin Kawakita, Barbara Horváth, Toyohiro Chikyow Fast filling of through-silicon via (TSV) with conductive polymer/metal composites. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sumin Choi, Heegon Kim, Daniel H. Jung, Jonghoon J. Kim, Jaemin Lim, Hyunsuk Lee, Kyungjun Cho, Joungho Kim, Hyungsoo Kim, Yong-Ju Kim, Yunsaing Kim Crosstalk-included eye-diagram estimation for high-speed silicon, organic, and glass interposer channels on 2.5D/3D IC. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Samson Melamed, Katsuya Kikuchi, Masahiro Aoyagi Investigation of effects of metalization on heat spreading in bump-bonded 3D systems. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Eric J. Wyers, T. Robert Harris, Wallace Shep Pitts, Jordan E. Massad, Paul D. Franzon Characterization of the mechanical stress impact on device electrical performance in the CMOS and III-V HEMT/HBT heterogeneous integration environment. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kosuke Yamashita, Shunji Kurooka, Koji Shirakawa, Yoshinori Hotta, Hirofumi Abe Copper-filled anodized aluminum oxide a potential material for chip to chip bonding. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jubee Tada, Ryusuke Egawa, Hiroaki Kobayashi Design of a 3-D stacked floating-point Goldschmidt divider. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hanju Oh, Gary S. May, Muhannad S. Bakir Silicon interposer platform with low-loss through-silicon vias using air. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kuniaki Sueoka, Akihiro Horibe, T. Aoki, Sayuri Kohara, Kazushige Toriyama, Hiroyuki Mori, Yasumitsu Orii Vertical integration after stacking (ViaS) process for low-cost and low-stress 3D silicon integration. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sayuri Kohara, Keishi Okamoto, Hirokazu Noma, Kazushige Toriyama, Hiroyuki Mori Warpage analysis of organic substrates for 2.1D packaging. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mariappan Murugesan, Jichoel Bea, Hiroyuki Hashimoto, K. W. Lee, Mitsu Koyanagi, Takafumi Fukushima, Tetsu Tanaka Mitigating thermo mechanical stress in high-density 3D-LSI through dielectric liners in Cu- through silicon Via _ µ-RS and µ-XRD study. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Soon-Wook Kim, Lan Peng, Andy Miller, Gerald Beyer, Eric Beyne, Chung-Sun Lee Permanent wafer bonding in the low temperature by using various plasma enhanced chemical vapour deposition dielectrics. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kohei Ohta, Atsushi Hirate, Yuto Miyachi, Tomohiro Shimizu, Shoso Shingubara All-wet TSV filling with highly adhesive displacement plated Cu seed layer. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Paul D. Franzon, Eric Rotenberg, James Tuck 0001, W. Rhett Davis, Huiyang Zhou, Joshua Schabel, Zhenqian Zhang, J. Brandon Dwiel, Elliott Forbes, Joonmoo Huh, Marcus Tshibangu, Steve Lipa Computing in 3D. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Vempati Srinivasa Rao IME's capabilities and programs in 2.5D/3DIC. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mohamed N. ElBahey, DiaaEldin S. Khalil, Hani Fikry Ragai Proposed static timing analysis framework for extracted 3D integrated circuits (3D-STA). Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Keiichiro Iwanabe, Tanemasa Asano Room-temperature bonding mechanism of compliant bump with ultrasonic assist. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yangyang Yan, Yingtao Ding, Qianwen Chen, Kang Wook Lee 0002, Takafumi Fukushima, Mitsumasa Koyanagi Vacuum-assisted-spin-coating of polyimide liner for high-aspect-ratio TSVs applications. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Amadine Jouve, Y. Sinquin, Arnaud Garnier, M. Daval, Pascal Chausse, M. Argoud, N. Allouti, Laurence Baud, Jérôme Dechamp, R. Franiatte, Séverine Cheramy, H. Kato, K. Kondo Silicon based dry-films evaluation for 2.5D and 3D Wafer-Level system integration improvement. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1 2015 International 3D Systems Integration Conference, 3DIC 2015, Sendai, Japan, August 31 - September 2, 2015 Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  BibTeX  RDF
1Chuei-Tang Wang, Jeng-Shien Hsieh, Victor C. Y. Chang, En-Hsiang Yeh, Feng-Wei Kuo, Hsu-Hsien Chen, Chih-Hua Chen, Huan-Neng Ron Chen, Ying-Ta Lu, Chewnpu Jou, Hao-Yi Tsai, C. S. Liu, Doug C. H. Yu Power saving and noise reduction of 28nm CMOS RF system integration using integrated fan-out wafer level packaging (InFO-WLP) technology. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kosuke Nanbara, Akihiro Odoriba, Masaki Hashizume, Hiroyuki Yotsuyanagi, Shyue-Kung Lu Electrical interconnect test of 3D ICs made of dies without ESD protection circuits with a built-in test circuit. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1K. W. Lee, Ji Chel Bea, Mitsu Koyanagi, Takafumi Fukushima, Tetsu Tanaka Advanced 2.5D/3D hetero-integration technologies at GINTI, Tohoku University. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hua-Cheng Fu, Shi-Yu Huang, Ding-Ming Kwai, Yung-Fa Chou Temperature-aware online testing of power-delivery TSVs. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 625 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license