The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ASP-DAC"( http://dblp.L3S.de/Venues/ASP-DAC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/aspdac

Publication years (Num. hits)
1995 (80) 1997 (98) 1998 (103) 1999 (92) 2000 (135) 2001 (129) 2003 (163) 2004 (195) 2005 (302) 2006 (180) 2007 (175) 2008 (158) 2009 (161) 2010 (167) 2011 (160) 2012 (147) 2013 (150) 2014 (152) 2015 (159) 2016 (135) 2017 (153) 2018 (139) 2019 (130) 2020 (115) 2021 (152) 2022 (122) 2023 (127)
Publication types (Num. hits)
inproceedings(3955) proceedings(24)
Venues (Conferences, Journals, ...)
ASP-DAC(3979)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 343 occurrences of 283 keywords

Results
Found 3979 publication records. Showing 3979 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Daijoon Hyun, Younggwang Jung, Insu Cho, Youngsoo Shin Decoupling Capacitor Insertion Minimizing IR-Drop Violations and Routing DRVs. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Simranjeet Singh, Furqan Zahoor, Gokulnath Rajendran, Sachin B. Patkar, Anupam Chattopadhyay, Farhad Merchant Hardware Security Primitives Using Passive RRAM Crossbar Array: Novel TRNG and PUF Designs. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Haoyu Wang, Basel Halak Hardware Trojan Detection and High-Precision Localization in NoC-Based MPSoC Using Machine Learning. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Xiangguo Liu, Ruochen Jiao, Bowen Zheng, Dave Liang, Qi Zhu 0002 Safety-Driven Interactive Planning for Neural Network-Based Lane Changing. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Syue-Wei Lu, Shuo-Han Chen, Yu-Pei Liang, Yuan-Hao Chang 0001, Kang Wang, Tseng-Yi Chen, Wei-Kuan Shih Skyrmion Vault: Maximizing Skyrmion Lifespan for Enabling Low-Power Skyrmion Racetrack Memory. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Haoyi Wang, Qiang Zhou 0001, Yici Cai Static Probability Analysis Guided RTL Hardware Trojan Test Generation. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ali BanaGozar, Seyed Hossein Hashemi Shadmehri, Sander Stuijk, Mehdi Kamal, Ali Afzali-Kusha, Henk Corporaal ReMeCo: Reliable Memristor-Based in-Memory Neuromorphic Computation. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hui Chen 0016, Di Liu 0002, Shiqing Li, Shuo Huai, Xiangzhong Luo, Weichen Liu MUGNoC: A Software-Configured Multicast-Unicast-Gather NoC for Accelerating CNN Dataflows. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Alireza Amirshahi, Joshua Alexander Harrison Klein, Giovanni Ansaloni, David Atienza TiC-SAT: Tightly-Coupled Systolic Accelerator for Transformers. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rohit Ranjan, Salim Ullah, Siva Satyendra Sahoo, Akash Kumar 0001 SyFAxO-GeN: Synthesizing FPGA-Based Approximate Operators with Generative Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Bryce Orloski, Samuel Coward, Theo Drane Automatic Generation of Complete Polynomial Interpolation Design Space for Hardware Architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Prattay Chowdhury, Jorge Castro-Godínez, Benjamin Carrion Schafer Approximating HW Accelerators through Partial Extractions onto Shared Artificial Neural Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Susmita Dey Manasi, Suvadeep Banerjee, Abhijit Davare, Anton A. Sorokin, Steven M. Burns, Desmond A. Kirkpatrick, Sachin S. Sapatnekar Reusing GEMM Hardware for Efficient Execution of Depthwise Separable Convolution on ASIC-Based DNN Accelerators. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rafael Medina, Joshua Kein, Giovanni Ansaloni, Marina Zapater, Sergi Abadal, Eduard Alarcón, David Atienza System-Level Exploration of In-Package Wireless Communication for Multi-Chiplet Platforms. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jayeeta Chaudhuri, Krishnendu Chakrabarty Detection and Classification of Malicious Bitstreams for FPGAs in Cloud Computing. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Muhammad Rashedul Haq Rashed, Sumit Kumar Jha 0001, Rickard Ewetz Discovering the in-Memory Kernels of 3D Dot-Product Engines. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mathieu Gross, Jonas Krautter, Dennis Gnad, Michael Gruber, Georg Sigl, Mehdi B. Tahoori FPGANeedle: Precise Remote Fault Attacks from FPGA to CPU. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mahfuzul Islam 0001, Takehiro Kitamura, Takashi Hisakado, Osami Wada Demonstration of Order Statistics Based Flash ADC in a 65nm Process. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jaekyung Im, Seokhyeong Kang Graph Partitioning Approach for Fast Quantum Circuit Simulation. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Dan Zheng, Evangeline F. Y. Young An Integrated Circuit Partitioning and TDM Assignment Optimization Framework for Multi-FPGA Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Fábio Passos, Nuno Lourenço 0003, Luís Mendes, Ricardo Martins 0003, João Caldinhas Vaz, Nuno Horta Efficient Hierarchical mm-Wave System Synthesis with Embedded Accurate Transformer and Balun Machine Learning Models. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yu-Guang Chen, Po-Yeh Huang, Jin-Fu Li 0001 An On-Line Aging Detection and Tolerance Framework for Improving Reliability of STT-MRAMs. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yuyang Ye, Tinghuan Chen, Yifei Gao, Hao Yan 0002, Bei Yu 0001, Longxing Shi Graph-Learning-Driven Path-Based Timing Analysis Results Predictor from Graph-Based Timing Analysis. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rongliang Fu, Mengmeng Wang, Yirong Kan, Nobuyuki Yoshikawa, Tsung-Yi Ho, Olivia Chen A Global Optimization Algorithm for Buffer and Splitter Insertion in Adiabatic Quantum-Flux-Parametron Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yanpeng Hu, Qisheng Jiang, Chundong Wang 0001 Exploring Architectural Implications to Boost Performance for in-NVM B+-Tree. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kyohei Seino, Shigeru Yamashita An SMT-Solver-Based Synthesis of NNA-Compliant Quantum Circuits Consisting of CNOT, H and T Gates. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yu Zhang, Gang Chen 0023, Tao He, Qian Huang, Kai Huang ViraEye: An Energy-Efficient Stereo Vision Accelerator with Binary Neural Network in 55 nm CMOS. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kangyi Qiu, Yaojun Zhang, Bonan Yan, Ru Huang Heterogeneous Memory Architecture Accommodating Processing-in-Memory on SoC for AIoT Applications. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sören Tempel, Vladimir Herdt, Rolf Drechsler Automated Detection of Spatial Memory Safety Violations for Constrained Devices. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Negar Neda, Salim Ullah, Azam Ghanbari, Hoda Mahdiani, Mehdi Modarressi, Akash Kumar 0001 Multi-Precision Deep Neural Network Acceleration on FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chung-Kuan Cheng, Chia-Tung Ho, Chester Holtz Net Separation-Oriented Printed Circuit Board Placement via Margin Maximization. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lucas Klemmer, Daniel Große WAL: A Novel Waveform Analysis Language for Advanced Design Understanding and Debugging. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Kuan-Yu Chen, Hsiu-Chu Hsu, Wai-Kei Mak, Ting-Chi Wang HybridGP: Global Placement for Hybrid-Row-Height Designs. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Heinz Riener, Siang-Yun Lee, Alan Mishchenko, Giovanni De Micheli Boolean Rewriting Strikes Back: Reconvergence-Driven Windowing Meets Resynthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Miaodi Su, Hongzhi Ding, Shaohong Weng, Changzhong Zou, Zhonghua Zhou, Yilu Chen, Jianli Chen, Yao-Wen Chang High-Correlation 3D Routability Estimation for Congestion-guided Global Routing. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jiayuan He 0003, Udit Agarwal, Yihang Yang, Rajit Manohar, Keshav Pingali SPRoute 2.0: A detailed-routability-driven deterministic parallel global router with soft capacity. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1A. V. Lakshmy, Chester Rebeiro, Swarup Bhunia FORTIFY: Analytical Pre-Silicon Side-Channel Characterization of Digital Designs. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tai Yang, Guoqing He, Peng Cao 0002 Pre-Routing Path Delay Estimation Based on Transformer and Residual Framework. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shuyue Lan, Zhilu Wang, John Mamish, Josiah D. Hester, Qi Zhu 0002 AdaSens: Adaptive Environment Monitoring by Coordinating Intermittently-Powered Sensors. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Etienne Dupuis, David Novo, Ian O'Connor, Alberto Bosio A Heuristic Exploration of Retraining-free Weight-Sharing for CNN Compression. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chen Tang, Wenyu Sun, Wenxun Wang, Yongpan Liu Dynamic CNN Accelerator Supporting Efficient Filter Generator with Kernel Enhancement and Online Channel Pruning. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jian-De Li, Sying-Jyan Wang, Katherine Shu-Min Li, Tsung-Yi Ho Design-for-Reliability and Probability-Based Fault Tolerance for Paper-Based Digital Microfluidic Biochips with Multiple Faults. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yuhong Song, Edwin Hsing-Mean Sha, Qingfeng Zhuge, Rui Xu 0013, Yongzhuo Zhang, Bingzhe Li, Lei Yang 0018 BSC: Block-based Stochastic Computing to Enable Accurate and Efficient TinyML. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jingyao Zhao, Changhao Yan, Zhaori Bi, Fan Yang 0001, Xuan Zeng 0001, Dian Zhou A Novel and Efficient Bayesian Optimization Approach for Analog Designs with Multi-Testbench. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sung-Yun Lee, Daeyeon Kim, Kyungjun Min, Seokhyeong Kang Signal-Integrity-Aware Interposer Bus Routing in 2.5D Heterogeneous Integration. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sajjad Parvin, Thilo Krachenfels, Shahin Tajik, Jean-Pierre Seifert, Frank Sill Torres, Rolf Drechsler Toward Optical Probing Resistant Circuits: A Comparison of Logic Styles and Circuit Design Techniques. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yen-Ting Tsou, Kuan-Hsun Chen, Chia-Lin Yang, Hsiang-Yun Cheng, Jian-Jia Chen, Der-Yu Tsai This is SPATEM! A Spatial-Temporal Optimization Framework for Efficient Inference on ReRAM-based CNN Accelerator. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Abhinav Goel, Caleb Tung, Xiao Hu 0004, George K. Thiruvathukal, James C. Davis 0001, Yung-Hsiang Lu Efficient Computer Vision on Edge Devices with Pipeline-Parallel Hierarchical Neural Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ganapathy Parthasarathy, Aabid Rushdi, Parivesh Choudhary, Saurav Nanda, Malan Evans, Hansika Gunasekara, Sridhar Rajakumar RTL Regression Test Selection using Machine Learning. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Prithwish Basu Roy, Patanjali SLPSK, Chester Rebeiro Avatar: Reinforcing Fault Attack Countermeasures in EDA with Fault Transformations. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Wen Zhang, Tao Liu, Mimi Xie, Longzhuang Li, Dulal Kar, Chen Pan Energy Harvesting Aware Multi-Hop Routing Policy in Distributed IoT System Based on Multi-Agent Reinforcement Learning. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jonas Krautter, Mahta Mayahinia, Dennis R. E. Gnad, Mehdi B. Tahoori Data Leakage through Self-Terminated Write Schemes in Memristive Caches. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Fereshte Mozafari, Yuxiang Yang, Giovanni De Micheli Efficient Preparation of Cyclic Quantum States. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Felix Last, Ceren Yeni, Ulf Schlichtmann Differentially Evolving Memory Ensembles: Pareto Optimization based on Computational Intelligence for Embedded Memories on a System Level. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Naomi Sagan, Jaijeet Roychowdhury Transient Adjoint DAE Sensitivities: a Complete, Rigorous, and Numerically Accurate Formulation. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zerun Li, Xiaoming Chen 0003, Yinhe Han 0001 Optimal Data Allocation for Graph Processing in Processing-in-Memory Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tomofumi Tsuchida, Akira Tsuchiya, Toshiyuki Inoue, Keiji Kishine Supply-Variation-Tolerant Transimpedance Amplifier Using Non-Inverting Amplifier in 180-nm CMOS. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tay-Jyi Lin, Chen-Zong Liao, You-Jia Hu, Wei-Cheng Hsu, Zheng-Xian Wu, Shao-Yu Wang, Chun-Ming Huang, Ying-Hui Lai, Chingwei Yeh, Jinn-Shyan Wang A 40nm CMOS SoC for Real-Time Dysarthric Voice Conversion of Stroke Patients. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yixiong Yang, Ruoyang Liu, Wenyu Sun, Jinshan Yue, Huazhong Yang, Yongpan Liu Toward Low-Bit Neural Network Training Accelerator by Dynamic Group Accumulation. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mariam Tlili, Alhassan Sayed, Doaa Mahmoud, Marie-Minerve Louërat, Hassan Aboushady, Haralampos-G. Stratigopoulos Anti-Piracy of Analog and Mixed-Signal Circuits in FD-SOI. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hanqing Zhu, Jiaqi Gu, Chenghao Feng, Mingjie Liu, Zixuan Jiang, Ray T. Chen, David Z. Pan ELight: Enabling Efficient Photonic In-Memory Neurocomputing with Life Enhancement. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Andrea Damiani, Emanuele Del Sozzo, Marco D. Santambrogio Large Forests and Where to "Partially" Fit Them. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tianen Chen, Taylor Kemp, Younghyun Kim 0001 SYNTHNET: A High-throughput yet Energy-efficient Combinational Logic Neural Network. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Rachel Selina Rajarathnam, Mohamed Baker Alawieh, Zixuan Jiang, Mahesh A. Iyer, David Z. Pan DREAMPlaceFPGA: An Open-Source Analytical Placer for Large Scale Heterogeneous FPGAs using Deep-Learning Toolkit. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Keren Zhu 0001, Hao Chen 0059, Mingjie Liu, David Z. Pan Automating Analog Constraint Extraction: From Heuristics to Learning: (Invited Paper). Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hsin-Chuan Huang, Chi-Chun Liang, Qining Wang, Xing Huang, Tsung-Yi Ho, Chang-Jin Kim 0001 NR-Router: Non-Regular Electrode Routing with Optimal Pin Selection for Electrowetting-on-Dielectric Chips. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Kai Wang, Peng Cao 0002 A Graph Neural Network Method for Fast ECO Leakage Power Optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jiacheng Huang, Min Peng 0002, Libing Wu, Chun Jason Xue, Qingan Li Lamina: Low Overhead Wear Leveling for NVM with Bounded Tail. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zheyu Yan, Weiwen Jiang, Xiaobo Sharon Hu, Yiyu Shi 0001 RADARS: Memory Efficient Reinforcement Learning Aided Differentiable Neural Architecture Search. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hua Jiang, Raghav Chakravarthy, Ravikumar V. Chakaravarthy A Task Parallelism Runtime Solution for Deep Learning Applications using MPSoC on Edge Devices. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Taikun Ma, Wei Deng 0001, Haikun Jia, Yejun He, Baoyong Chi A 76-81 GHz FMCW 2TX/3RX Radar Transceiver with Integrated Mixed-Mode PLL and Series-Fed Patch Antenna Array. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Muhammad Rashedul Haq Rashed, Sven Thijssen, Sumit Kumar Jha 0001, Fan Yao, Rickard Ewetz STREAM: Towards READ-based In-Memory Computing for Streaming based Data Processing. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Can Deng, Zhaoyun Chen, Yang Shi, Xichang Kong, Mei Wen Exploring ILP for VLIW Architecture by Quantified Modeling and Dynamic Programming-Based Instruction Scheduling. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sooryaa Vignesh Thiruloga, Vipin Kumar Kukkala, Sudeep Pasricha TENET: Temporal CNN with Attention for Anomaly Detection in Automotive Cyber-Physical Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Maedeh Hemmat, Azadeh Davoodi, Yu Hen Hu $\text{Edge}^{n}$ AI: Distributed Inference with Local Edge Devices and Minimal Latency. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shuyuan Yu, Maliha Tasnim, Sheldon X.-D. Tan HEALM: Hardware-Efficient Approximate Logarithmic Multiplier with Reduced Error. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Nibedita Karmokar, Meghna Madhusudan, Arvind K. Sharma, Ramesh Harjani, Mark Po-Hung Lin, Sachin S. Sapatnekar Common-Centroid Layout for Active and Passive Devices: A Review and the Road Ahead. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yufei Chen, Haojie Pei, Xiao Dong, Zhou Jin 0001, Cheng Zhuo Application of Deep Learning in Back-End Simulation: Challenges and Opportunities. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Pengfei Qiu, Dongsheng Wang 0002, Yongqiang Lyu 0001, Gang Qu 0001 DVFSspy: Using Dynamic Voltage and Frequency Scaling as a Covert Channel for Multiple Procedures. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hao Geng, Tinghuan Chen, Qi Sun 0002, Bei Yu 0001 Techniques for CAD Tool Parameter Auto-tuning in Physical Synthesis: A Survey (Invited Paper). Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Akash Levy, Michael Oduoza, Akhilesh Balasingam, Roger T. Howe, Priyanka Raina Efficient Routing in Coarse-Grained Reconfigurable Arrays Using Multi-Pole NEM Relays. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Kexing Zhou, Zizheng Guo, Tsung-Wei Huang, Yibo Lin Efficient Critical Paths Search Algorithm using Mergeable Heap. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Gerold Fink, Philipp Ebner, Sudip Poddar, Robert Wille Improving the Robustness of Microfluidic Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jianan Mu, Yixuan Zhao, Zongyue Wang, Jing Ye 0001, Junfeng Fan, Shuai Chen, Huawei Li 0001, Xiaowei Li 0001, Yuan Cao 0003 A Voltage Template Attack on the Modular Polynomial Subtraction in Kyber. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ling-Yen Song, Tung-Chieh Kuo, Ming-Hung Wang, Chien-Nan Jimmy Liu, Juinn-Dar Huang Fast Variation-aware Circuit Sizing Approach for Analog Design with ML-Assisted Evolutionary Algorithm. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Alessandro Tempia Calvino, Heinz Riener, Shubham Rai, Akash Kumar 0001, Giovanni De Micheli A Versatile Mapping Approach for Technology Mapping and Graph Optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Benjamin Carrión Schäfer Hotspot Mitigation through Multi-Row Thermal-aware Re-Placement of Logic Cells based on High-Level Synthesis Scheduling. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Congcong Chen, Chaoqun Shen, Jiliang Zhang 0002 Lightweight and Secure Branch Predictors against Spectre Attacks. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shiyu Su, Qiaochu Zhang, Juzheng Liu, Mohsen Hassanpourghadi, Rezwan A. Rasul, Mike Shuo-Wei Chen TAFA: Design Automation of Analog Mixed-Signal FIR Filters Using Time Approximation Architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lukas Burgholzer, Sarah Schneider, Robert Wille Limiting the Search Space in Optimal Quantum Circuit Mapping. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chen Wang, Weikang Qian Linear Feedback Shift Register Reseeding for Stochastic Circuit Repairing and Minimization. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hongxiang Fan, Martin Ferianc, Zhiqiang Que, He Li 0008, Shuanglong Liu, Xinyu Niu, Wayne Luk Algorithm and Hardware Co-design for Reconfigurable CNN Accelerator. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mohamed Saleh Abouelyazid, Sherif Hammouda, Yehea Ismail A Fast and Accurate Middle End of Line Parasitic Capacitance Extraction for MOSFET and FinFET Technologies Using Machine Learning. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Arko Dutt, Suprojit Nandy, Mohamed M. Sabry Pearl: Towards Optimization of DNN-accelerators Via Closed-Form Analytical Representation. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shiyu Su, Qiaochu Zhang, Mohsen Hassanpourghadi, Juzheng Liu, Rezwan A. Rasul, Mike Shuo-Wei Chen Analog/Mixed-Signal Circuit Synthesis Enabled by the Advancements of Circuit Architectures and Machine Learning Algorithms. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ulrich Brenner, Anna Silvanus Delay Optimization of Combinational Logic by AND-OR Path Restructuring. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Darayus Adil Patel, Viet Phuong Bui, Kevin Tshun Chuan Chai, Amit Lal, Mohamed M. Sabry Aly SonicFFT: A system architecture for ultrasonic-based FFT acceleration. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jiaxi Zhang 0001, Qiuyang Gao, Yijiang Guo, Bizhao Shi, Guojie Luo EasyMAC: Design Exploration-Enabled Multiplier-Accumulator Generator Using a Canonical Architectural Representation: (Invited Paper). Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xiqiong Bai, Ziran Zhu, Peng Zou, Jianli Chen, Jun Yu 0010, Yao-Wen Chang Voronoi Diagram Based Heterogeneous Circuit Layout Centerline Extraction for Mask Verification. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xinheng Liu, Yao Chen 0008, Prakhar Ganesh, Junhao Pan, Jinjun Xiong, Deming Chen HiKonv: High Throughput Quantized Convolution With Novel Bit-wise Management and Computation. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 3979 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license