|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 2974 occurrences of 1216 keywords
|
|
|
Results
Found 3381 publication records. Showing 3380 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
33 | Kun-Lung Wu, W. Kent Fuchs, Janak H. Patel |
Error Recovery in Shared Memory Multiprocessors Using Private Caches. |
IEEE Trans. Parallel Distributed Syst. |
1990 |
DBLP DOI BibTeX RDF |
processor transient faults, user-transparent checkpointing, checkpointed computation state, recovery stacks, rollback propagation, rapidrecovery, fault tolerance, fault tolerant computing, multiprocessor interconnection networks, multiprocessing systems, shared memory multiprocessors, system recovery, buffer storage, cache coherence protocols, performance degradation, processor utilization, private caches, error latency |
33 | Andrew Putnam, Susan J. Eggers, Dave Bennett, Eric Dellinger, Jeff Mason, Henry Styles, Prasanna Sundararajan, Ralph Wittig |
Performance and power of cache-based reconfigurable computing. |
ISCA |
2009 |
DBLP DOI BibTeX RDF |
c-to-gates, c-to-hardware, co-processor accelerator, many-cache, synthesis compiler, fpga, caches |
33 | Leticia Pascual, Alejandro Torrentí, Julio Sahuquillo, José Flich |
Understanding cache hierarchy interactions with a program-driven simulator. |
WCAE |
2007 |
DBLP DOI BibTeX RDF |
multi-level caches, cache organization, write policies |
33 | Kyoungwoo Lee, Aviral Shrivastava, Ilya Issenin, Nikil D. Dutt, Nalini Venkatasubramanian |
Mitigating soft error failures for multimedia applications by selective data protection. |
CASES |
2006 |
DBLP DOI BibTeX RDF |
horizontally partitioned caches, multimedia embedded systems, selective data protection, soft errors |
33 | Ravi R. Iyer 0001 |
Characterization and Evaluation of Cache Hierarchies for Web Servers. |
World Wide Web |
2004 |
DBLP DOI BibTeX RDF |
chipsets, snoop filters, simulation, performance evaluation, memory hierarchy, web servers, cache coherence, shared caches, commercial workloads |
33 | Ben H. H. Juurlink, Pepijn J. de Langen |
Dynamic techniques to reduce memory traffic in embedded systems. |
Conf. Computing Frontiers |
2004 |
DBLP DOI BibTeX RDF |
memory traffic, caches, power consumption, embedded processors |
33 | Hemendra Singh Negi, Tulika Mitra, Abhik Roychoudhury |
Accurate estimation of cache-related preemption delay. |
CODES+ISSS |
2003 |
DBLP DOI BibTeX RDF |
caches, multitasking, formal analysis, preemption |
33 | Marc Ségura-Devillechaise, Jean-Marc Menaud, Gilles Muller, Julia L. Lawall |
Web cache prefetching as an aspect: towards a dynamic-weaving based solution. |
AOSD |
2003 |
DBLP DOI BibTeX RDF |
pointcut language, Java, aspect-oriented programming, Web caches, adaptable software, code instrumentation |
33 | Damien Charlet, Pascal Chatonnay, François Spies |
Hand-Over Video Cache Policy for Mobile Users. |
DAIS |
2003 |
DBLP DOI BibTeX RDF |
distributed video caches, mobile devices, Multimedia streaming |
33 | Johnson Kin, Munish Gupta, William H. Mangione-Smith |
The Filter Cache: An Energy Efficient Memory Structure. |
MICRO |
1997 |
DBLP DOI BibTeX RDF |
direct mapped 256-byte filter cache, energy efficient memory structure, on-chip caches, static RAM, microprocessors, microprocessor chips, power reduction, embedded applications, L2 cache, filter cache, L1 cache |
31 | Berkin Ilbeyi, John A. Nestor |
VCache: visualization applet for processor caches. |
ITiCSE |
2010 |
DBLP DOI BibTeX RDF |
visualization, education, computer organization |
31 | Soontae Kim |
Reducing Area Overhead for Error-Protecting Large L2/L3 Caches. |
IEEE Trans. Computers |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Seung Woo Son 0001, Sai Prashanth Muralidhara, Ozcan Ozturk 0001, Mahmut T. Kandemir, Ibrahim Kolcu, Mustafa Karaköy |
Profiler and compiler assisted adaptive I/O prefetching for shared storage caches. |
PACT |
2008 |
DBLP DOI BibTeX RDF |
shared storage cache, adaptive, compiler, profiler, prefetching |
31 | Marios Kleanthous, Yiannakis Sazeides |
CATCH: A Mechanism for Dynamically Detecting Cache-Content-Duplication and its Application to Instruction Caches. |
DATE |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Subramanian Ramaswamy, Sudhakar Yalamanchili |
Customized Placement for High Performance Embedded Processor Caches. |
ARCS |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Soontae Kim |
Area-efficient error protection for caches. |
DATE |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Afrin Naz, Mehran Rezaei, Krishna M. Kavi, Philip H. Sweany |
Improving data cache performance with integrated use of split caches, victim cache and stream buffers. |
SIGARCH Comput. Archit. News |
2005 |
DBLP DOI BibTeX RDF |
array cache, memory access time, scalar cache, victim cache, stream buffer |
31 | Georgi Gaydadjiev, Stamatis Vassiliadis |
Flux Caches: What Are They and Are They Useful? |
SAMOS |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Antonio Martí Campoy, Isabelle Puaut, Angel Perles Ivars, José V. Busquets-Mataix |
Cache Contents Selection for Statically-Locked Instruction Caches: An Algorithm Comparison. |
ECRTS |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Yiu-Fai Sit, Francis C. M. Lau 0001, Cho-Li Wang |
On The Cooperation of Web Clients and Proxy Caches. |
ICPADS (1) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Kiran Puttaswamy, Gabriel H. Loh |
Implementing Caches in a 3D Technology for High Performance Processors. |
ICCD |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Ramesh V. Peri, John Fernando, Ravi K. Kolagotla |
Addressing mode driven low power data caches for embedded processors. |
WMPI |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Bradford M. Beckmann, David A. Wood 0001 |
Managing Wire Delay in Large Chip-Multiprocessor Caches. |
MICRO |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Julio C. López, David R. O'Hallaron, Tiankai Tu |
Big Wins with Small Application-Aware Caches. |
SC |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Ravishankar K. Iyer |
Performance implications of chipset caches in web servers. |
ISPASS |
2003 |
DBLP DOI BibTeX RDF |
|
31 | Jui-Ming Chen, Li-Der Chou |
Active Dispatching Schemes for Web Caches in Mobile Networks. |
AINA |
2003 |
DBLP DOI BibTeX RDF |
|
31 | Madhukar R. Korupolu, Michael Dahlin |
Coordinated Placement and Replacement for Large-Scale Distributed Caches. |
IEEE Trans. Knowl. Data Eng. |
2002 |
DBLP DOI BibTeX RDF |
Cache, web, distributed, cooperative, placement, hierarchical, replacement |
31 | Yingwu Zhu, Yiming Hu |
Can large disk built-in caches really improve system performance?. |
SIGMETRICS |
2002 |
DBLP DOI BibTeX RDF |
|
31 | Changkyu Kim, Doug Burger, Stephen W. Keckler |
An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches. |
ASPLOS |
2002 |
DBLP DOI BibTeX RDF |
|
31 | Brannon Batson, T. N. Vijaykumar |
Reactive-Associative Caches. |
IEEE PACT |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Martin F. Arlitt, Ludmila Cherkasova, John Dilley, Rich Friedrich, Tai Jin |
Evaluating content management techniques for Web proxy caches. |
SIGMETRICS Perform. Evaluation Rev. |
2000 |
DBLP DOI BibTeX RDF |
WEB |
31 | Xiao-Yu Hu, Pablo Rodriguez 0001, Ernst W. Biersack |
Performance Study of Satellite-Linked Web Caches and Filtering Policies. |
NETWORKING |
2000 |
DBLP DOI BibTeX RDF |
Satellite Distribution, Filtering Policies, World-Wide Web, Web Caching |
31 | Olga Kapitskaia, Raymond T. Ng, Divesh Srivastava |
Evolution and Revolutions in LDAP Directory Caches. |
EDBT |
2000 |
DBLP DOI BibTeX RDF |
|
31 | Kun-Lung Wu, Philip S. Yu |
Local Replication for Proxy Web Caches with Hash Routing. |
CIKM |
1999 |
DBLP DOI BibTeX RDF |
|
31 | Peter Triantafillou, Stavros Harizopoulos |
Prefetching into Smart-Disk Caches for High Performance Media Servers. |
ICMCS, Vol. 1 |
1999 |
DBLP DOI BibTeX RDF |
smart disks, prefetching, servers, disk scheduling, continuous media |
31 | James E. Bennett, Michael J. Flynn |
Prediction Caches for Superscalar Processors. |
MICRO |
1997 |
DBLP DOI BibTeX RDF |
Prediction cache, Dynamic scheduling, Memory latency, Victim cache, Stream buffer |
31 | Hong Wang 0003, Tong Sun, Qing Yang 0001 |
CAT - Caching Address Tags: A Technique for Reducing Area Cost of On-Chip Caches. |
ISCA |
1995 |
DBLP DOI BibTeX RDF |
|
31 | Rabin A. Sugumar, Santosh G. Abraham |
Efficient Simulation of Caches under Optimal Replacement with Applications to Miss Characterization. |
SIGMETRICS |
1993 |
DBLP DOI BibTeX RDF |
|
31 | Brent E. Nelson, James K. Archibald, J. Kelly Flanagan |
Performance analysis of inclusion effects in multi-level multiprocessor caches. |
SPDP |
1991 |
DBLP DOI BibTeX RDF |
|
31 | Mazin S. Algudady, Chita R. Das, Matthew Thazhuthaveetil |
A write update cache coherence protocol for MIN-based multiprocessors with accessibility-based split caches. |
SC |
1990 |
DBLP DOI BibTeX RDF |
|
31 | Thomas W. Barr, Alan L. Cox, Scott Rixner |
Translation caching: skip, don't walk (the page table). |
ISCA |
2010 |
DBLP DOI BibTeX RDF |
page walk caching, memory management, tlb |
31 | Moinuddin K. Qureshi |
Adaptive Spill-Receive for robust high-performance caching in CMPs. |
HPCA |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Chuanjun Zhang |
Reducing cache misses through programmable decoders. |
ACM Trans. Archit. Code Optim. |
2008 |
DBLP DOI BibTeX RDF |
low power, Cache, dynamic optimization |
31 | Heiko Falk, Sascha Plazar, Henrik Theiling |
Compile-time decided instruction cache locking using worst-case execution paths. |
CODES+ISSS |
2007 |
DBLP DOI BibTeX RDF |
WC-path, cache locking, optimization, WCET |
31 | Xin Yu |
Distributed Cache Updating for the Dynamic Source Routing Protocol. |
IEEE Trans. Mob. Comput. |
2006 |
DBLP DOI BibTeX RDF |
distributed cache updating, mobility, Mobile ad hoc networks, on-demand routing protocols |
31 | Lakshmish Ramaswamy, Ling Liu 0001, Jianjun Zhang 0001 |
Efficient Formation of Edge Cache Groups for Dynamic Content Delivery. |
ICDCS |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Martin Thuresson, Per Stenström |
Scalable Value-Cache Based Compression Schemes for Multiprocessors. |
SBAC-PAD |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Nam Sung Kim, David T. Blaauw, Trevor N. Mudge |
Quantitative analysis and optimization techniques for on-chip cache leakage power. |
IEEE Trans. Very Large Scale Integr. Syst. |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Xin Yu, Zvi M. Kedem |
A distributed adaptive cache update algorithm for the dynamic source routing protocol. |
INFOCOM |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Evan Speight, Hazim Shafi, Lixin Zhang 0002, Ramakrishnan Rajamony |
Adaptive Mechanisms and Policies for Managing Cache Hierarchies in Chip Multiprocessors. |
ISCA |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Lakshmish Ramaswamy, Ling Liu 0001 |
An Expiration Age-Based Document Placement Scheme for Cooperative Web Caching. |
IEEE Trans. Knowl. Data Eng. |
2004 |
DBLP DOI BibTeX RDF |
Cooperative Web caching, document placement, distributed caching |
31 | Marek Tudruj, Lukasz Masko |
Dynamic SMP Clusters with Communication on the Fly in NoC Technology for Very Fine Grain Computations. |
ISPDC/HeteroPar |
2004 |
DBLP DOI BibTeX RDF |
Parallel System Architecture, Shared Memory Systems, Cluster Systems |
31 | Dimitrios S. Nikolopoulos |
Code and Data Transformations for Improving Shared Cache Performance on SMT Processors. |
ISHPC |
2003 |
DBLP DOI BibTeX RDF |
compilers, operating systems, memory hierarchies, runtime systems, multithreaded processors |
31 | Yiming Hu, Tycho Nightingale, Qing Yang 0001 |
RAPID-Cache-A Reliable and Inexpensive Write Cache for High Performance Storage Systems. |
IEEE Trans. Parallel Distributed Syst. |
2002 |
DBLP DOI BibTeX RDF |
fault-tolerance, performance, reliability, storage systems, disks |
31 | Zhen Liu 0001, Mark S. Squillante, Cathy H. Xia, S. Yu, Li Zhang 0002, Naceur Malouch, Paul Dantzig |
Analysis of Caching Mechanisms from Sporting Event Web Sites. |
ASIAN |
2002 |
DBLP DOI BibTeX RDF |
|
31 | Michael D. Powell, Amit Agarwal 0001, T. N. Vijaykumar, Babak Falsafi, Kaushik Roy 0001 |
Reducing set-associative cache energy via way-prediction and selective direct-mapping. |
MICRO |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Jean-Marc Menaud, Valérie Issarny, Michel Banâtre |
Improving the Effectiveness of Web Caching. |
Advances in Distributed Systems |
1999 |
DBLP DOI BibTeX RDF |
|
31 | Mazin S. Yousif, Chita R. Das |
A Switch Cache Design for MIN-Based Shared-Memory Multiprocessors. |
CONPAR |
1994 |
DBLP DOI BibTeX RDF |
|
31 | Marco Annaratone, Roland Rühl |
Performance Measurements on a Commercial Multiprocessor Running Parallel Code. |
ISCA |
1989 |
DBLP DOI BibTeX RDF |
C, FORTRAN |
31 | Michael N. Nelson, Brent B. Welch, John K. Ousterhout |
Caching in the Sprite Network File System (Extended Abstract). (long version: ACM Trans. Comput. Syst. 6(1): 134-154(1988)) |
SOSP |
1987 |
DBLP DOI BibTeX RDF |
|
28 | Ann Gordon-Ross, Jeremy Lau, Brad Calder |
Phase-based cache reconfiguration for a highly-configurable two-level cache hierarchy. |
ACM Great Lakes Symposium on VLSI |
2008 |
DBLP DOI BibTeX RDF |
cache tuning, phase prediction, phase-based reconfiguration, phase-based tuning, caches, configurable caches, configurable architecture |
27 | Yannis Klonatos, Thanos Makatos, Manolis Marazakis, Michail D. Flouris, Angelos Bilas |
Azor: Using Two-Level Block Selection to Improve SSD-Based I/O Caches. |
NAS |
2011 |
DBLP DOI BibTeX RDF |
Solid State Disk (SSD) caches, Evaluation, I/O performance |
27 | Kanad Ghose, Milind B. Kamble |
Reducing power in superscalar processor caches using subbanking, multiple line buffers and bit-line segmentation. |
ISLPED |
1999 |
DBLP DOI BibTeX RDF |
power estimation, low power caches |
27 | Pablo Ibáñez, Víctor Viñals |
Performance Assessment of Contents Management in Multilevel On-Chip Caches. |
EUROMICRO |
1996 |
DBLP DOI BibTeX RDF |
multilevel on-chip caches, Inclusion contents management, Exclusion, second-level cache miss ratio, system CPI, floating point SPEC'92 benchmarks, performance metrics, contents management, cache storage, design space, performance assessment, Demand |
27 | Kanad Ghose, Kiran Raghavendra Desai, Peter M. Kogge |
Using Method Lookup Caches and Register Windowing to Speed Up Dynamically-Bound Object-Oriented Applications. |
EUROMICRO |
1996 |
DBLP DOI BibTeX RDF |
method lookup caches, dynamically-bound object-oriented applications, logical reference, method binding, context allocation, contemporary pipelined datapath, detailed register level simulation, object-oriented programming, object oriented programming languages, register windowing |
27 | Josep Torrellas, Monica S. Lam, John L. Hennessy |
False Sharing ans Spatial Locality in Multiprocessor Caches. |
IEEE Trans. Computers |
1994 |
DBLP DOI BibTeX RDF |
multiprocessor caches, coherence transactions, interleaved fashion, programmer-transparent method, performance evaluation, shared-memory multiprocessors, program compilers, shared memory systems, buffer storage, data cache, spatial locality, false sharing, shared data, cache block, cache miss rates |
27 | Jaswinder Pal Singh, Harold S. Stone, Dominique Thiébaut |
A Model of Workloads and Its Use in Miss-Rate Prediction for Fully Associative Caches. |
IEEE Trans. Computers |
1992 |
DBLP DOI BibTeX RDF |
model of workloads, miss-rate prediction, fully associative caches, behavior of programs, fully associative cache, least-recently-used replacement, mathematical model, memory architecture, buffer storage, content-addressable storage, temporal locality, spatial locality |
27 | Mark D. Hill, Alan Jay Smith |
Evaluating Associativity in CPU Caches. |
IEEE Trans. Computers |
1989 |
DBLP DOI BibTeX RDF |
CPU caches, cache miss ratio, forest simulation, all-associativity simulation, stack simulation, associativity, buffer storage, content-addressable storage, direct-mapped, set-associative |
27 | Andrew Putnam, Susan J. Eggers, Dave Bennett, Eric Dellinger, Jeff Mason, Henry Styles, Prasanna Sundararajan, Ralph Wittig |
Performance and power of cache-based reconfigurable computing. |
FPGA |
2009 |
DBLP DOI BibTeX RDF |
c-to-gates, c-to-hardware, co-processor accelerators, fpga, caches |
27 | Guangyu Sun 0003, Xiaoxia Wu, Yuan Xie 0001 |
Exploration of 3D stacked L2 cache design for high performance and efficient thermal control. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
thermal control, performance, 3D, L2 caches |
27 | Frank McCown, Michael L. Nelson |
Recovering a website's server components from the web infrastructure. |
JCDL |
2008 |
DBLP DOI BibTeX RDF |
search engine caches, web server, digital preservation, web archiving, backup |
27 | Frank McCown, Norou Diawara, Michael L. Nelson |
Factors affecting website reconstruction from the web infrastructure. |
JCDL |
2007 |
DBLP DOI BibTeX RDF |
search engine caches, digital preservation, web archiving |
27 | Ismail Kadayif, Mahmut T. Kandemir |
Modeling and improving data cache reliability. |
SIGMETRICS |
2007 |
DBLP DOI BibTeX RDF |
vulnerability factors, reliability, data integrity, soft errors, data caches |
27 | Vasileios Liaskovitis, Shimin Chen, Phillip B. Gibbons, Anastassia Ailamaki, Guy E. Blelloch, Babak Falsafi, Limor Fix, Nikos Hardavellas, Michael Kozuch, Todd C. Mowry, Chris Wilkerson |
Parallel depth first vs. work stealing schedulers on CMP architectures. |
SPAA |
2006 |
DBLP DOI BibTeX RDF |
scheduling, caches, chip multiprocessors |
27 | Soontae Kim, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Anand Sivasubramaniam, Mary Jane Irwin |
Partitioned instruction cache architecture for energy efficiency. |
ACM Trans. Embed. Comput. Syst. |
2003 |
DBLP DOI BibTeX RDF |
Caches, energy, memory system |
27 | Zheng Zhang 0001, Marcelo H. Cintra, Josep Torrellas |
Excel-NUMA: Toward Programmability, Simplicity, and High Performance. |
IEEE Trans. Computers |
1999 |
DBLP DOI BibTeX RDF |
NUMA organizations, performance evaluation, caches, Shared-memory multiprocessors, cache-coherence protocols |
27 | Chun Xia, Josep Torrellas |
Improving the Data Cache Performance of Multiprocessor Operating Systems. |
HPCA |
1996 |
DBLP DOI BibTeX RDF |
data cache performance, operating system effect on caches, bus-based multiprocessor, address trace evaluation, block operations, latency hiding |
27 | André Seznec |
Don't Use the Page Number, But a Pointer To It. |
ISCA |
1996 |
DBLP DOI BibTeX RDF |
address width, indirect-tagged caches, reduced branch target buffers, tag implementation cost |
27 | Daniel Lenoski, James Laudon, Truman Joe, David Nakahira, Luis Stevens, Anoop Gupta, John L. Hennessy |
The DASH Prototype: Logic Overhead and Performance. |
IEEE Trans. Parallel Distributed Syst. |
1993 |
DBLP DOI BibTeX RDF |
DASH project, large-scale shared-memory multiprocessors, directory-based cachecoherence, reference behavior, DASHprotocol, atomic tests, performance evaluation, parallel programming, shared memory systems, storage management, buffer storage, hardware performance monitor, coherent caches |
26 | Anirban Chakraborty 0003, Sarani Bhattacharya, Sayandeep Saha, Debdeep Mukhopadhyay |
Are Randomized Caches Truly Random? Formal Analysis of Randomized-Partitioned Caches. |
HPCA |
2023 |
DBLP DOI BibTeX RDF |
|
26 | Elizabath Peter, K. K. Krishnan Namboodiri, B. Sundar Rajan |
Coded Caching with Shared Caches and Private Caches. |
ITW |
2023 |
DBLP DOI BibTeX RDF |
|
26 | Elizabath Peter, K. K. Krishnan Namboodiri, B. Sundar Rajan |
Coded Caching with Shared Caches and Private Caches. |
CoRR |
2022 |
DBLP DOI BibTeX RDF |
|
26 | Tarunesh Verma, Achilleas Anastasopoulos, Todd M. Austin |
These Aren't The Caches You're Looking For: Stochastic Channels on Randomized Caches. |
SEED |
2022 |
DBLP DOI BibTeX RDF |
|
26 | Iacovos G. Kolokasis, Anastasios Papagiannis, Polyvios Pratikakis, Angelos Bilas, Foivos S. Zakkak |
Say Goodbye to Off-heap Caches! On-heap Caches Using Memory-Mapped I/O. |
HotStorage |
2020 |
DBLP BibTeX RDF |
|
26 | Valentin Touzeau |
Static analysis of least recently used caches: complexity, optimal analysis, and applications to worst-case execution time and security. (Analyse statique de caches LRU : complexité, analyse optimale, et applications au calcul de pire temps d'exécution et à la sécurité). |
|
2019 |
RDF |
|
26 | Junchao Ma, Jiahuan Wang, Gang Liu 0007, Pingzhi Fan |
Low Latency Caching Placement Policy for Cloud-Based VANET with Both Vehicle Caches and RSU Caches. |
GLOBECOM Workshops |
2017 |
DBLP DOI BibTeX RDF |
|
26 | Po-Hao Wang, Shang-Jen Tsai, Rizal Tanjung, Tay-Jyi Lin, Jinn-Shyan Wang, Tien-Fu Chen |
Cross-matching caches: Dynamic timing calibration and bit-level timing-failure mask caches to reduce timing discrepancies with low voltage processors. |
Integr. |
2016 |
DBLP DOI BibTeX RDF |
|
26 | Sara Alouf, Nicaise Choungmo Fofack, Nedko Nedkov |
Performance models for hierarchy of caches: Application to modern DNS caches. |
Perform. Evaluation |
2016 |
DBLP DOI BibTeX RDF |
|
26 | Jordan de la Houssaye |
Modèle de stockages distribués appliqué aux caches hiérarchiques. (Distributed storage models applied to hierarchical caches). |
|
2015 |
RDF |
|
26 | Karthikeyan Dayalan, Meltem Ozsoy, Dmitry V. Ponomarev |
Dynamic associative caches: Reducing dynamic energy of first level caches. |
ICCD |
2014 |
DBLP DOI BibTeX RDF |
|
26 | Jia-Jhe Li, Yuan-Shin Hwang |
Indirect-Mapped Caches: Approximating Set-Associativity with Direct-Mapped Caches. |
CDES |
2009 |
DBLP BibTeX RDF |
|
26 | Afrin Naz, Krishna M. Kavi, Philip H. Sweany, Wentong Li |
A Study of Reconfigurable Split Data Caches and Instruction Caches. |
PDCS |
2006 |
DBLP BibTeX RDF |
|
26 | Anant Agarwal, Steven D. Pudar |
Column-Associative Caches: A Technique for Reducing the Miss Rate of Direct-Mapped Caches. |
ISCA |
1993 |
DBLP DOI BibTeX RDF |
|
25 | Andrea Calimera, Mirko Loghi, Enrico Macii, Massimo Poncino |
Dynamic indexing: concurrent leakage and aging optimization for caches. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
leakage optimization, memory hierarchy, aging, NBTI |
25 | Georgios Keramidas, Polychronis Xekalakis, Stefanos Kaxiras |
Recruiting Decay for Dynamic Power Reduction in Set-Associative Caches. |
Trans. High Perform. Embed. Archit. Compil. |
2009 |
DBLP DOI BibTeX RDF |
|
25 | Manu Awasthi, Kshitij Sudan, Rajeev Balasubramonian, John B. Carter |
Dynamic hardware-assisted software-controlled page placement to manage capacity allocation and sharing within large caches. |
HPCA |
2009 |
DBLP DOI BibTeX RDF |
|
25 | Sangmin Seo, Jaejin Lee, Zehra Sura |
Design and implementation of software-managed caches for multicores with local memory. |
HPCA |
2009 |
DBLP DOI BibTeX RDF |
|
25 | Md. Mafijul Islam, Sally A. McKee, Per Stenström |
Cancellation of loads that return zero using zero-value caches. |
ICS |
2009 |
DBLP DOI BibTeX RDF |
frequent value locality, load criticality, zero load, cache |
25 | Mrinmoy Ghosh, Emre Özer 0001, Simon Ford, Stuart Biles, Hsien-Hsin S. Lee |
Way guard: a segmented counting bloom filter approach to reducing energy for set-associative caches. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
low power, bloom filter |
25 | Naveen Muralimanohar, Rajeev Balasubramonian, Norman P. Jouppi |
Architecting Efficient Interconnects for Large Caches with CACTI 6.0. |
IEEE Micro |
2008 |
DBLP DOI BibTeX RDF |
CACTI 6.0, on-chip interconnects, cache design |
Displaying result #101 - #200 of 3380 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ >>] |
|