The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase PI-Bus (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1977 (16) 1978-1979 (17) 1980-1981 (28) 1982 (33) 1983 (25) 1984 (26) 1985 (37) 1986 (37) 1987 (35) 1988 (64) 1989 (54) 1990 (65) 1991 (60) 1992 (72) 1993 (80) 1994 (88) 1995 (107) 1996 (115) 1997 (121) 1998 (149) 1999 (172) 2000 (213) 2001 (187) 2002 (232) 2003 (376) 2004 (447) 2005 (516) 2006 (600) 2007 (687) 2008 (606) 2009 (607) 2010 (532) 2011 (539) 2012 (514) 2013 (543) 2014 (544) 2015 (556) 2016 (532) 2017 (614) 2018 (595) 2019 (709) 2020 (732) 2021 (797) 2022 (720) 2023 (712) 2024 (188)
Publication types (Num. hits)
article(9767) book(4) data(19) incollection(20) inproceedings(4838) phdthesis(51)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4167 occurrences of 2110 keywords

Results
Found 14725 publication records. Showing 14699 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
41Kousuke Kawamura, Naoto Mukai Optimization of Transport Plan for On-Demand Bus System Using Electrical Vehicles. Search on Bibsonomy KES (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
41Jongsun Kim, Bo-Cheng Lai, Mau-Chung Frank Chang, Ingrid Verbauwhede A Cost-Effective Latency-Aware Memory Bus for Symmetric Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Sujan Pandey, Rolf Drechsler Slack Allocation Based Co-Synthesis and Optimization of Bus and Memory Architectures for MPSoCs. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Xi Chen 0068, Robert P. Dick, Alok N. Choudhary Operating System Controlled Processor-Memory Bus Encryption. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Tilen Ma, Evangeline F. Y. Young TCG-based multi-bend bus driven floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Claudio Brunelli, Fabio Garzia, Carmelo Giliberto, Jari Nurmi A dedicated DMA logic addressing a time multiplexed memory to reduce the effects of the system bus bottleneck. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Sujan Pandey, Rolf Drechsler, Tudor Murgan, Manfred Glesner Process variations aware robust on-chip bus architecture synthesis for MPSoCs. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Stephan Eberle Adaptive Internet Integration of Field Bus Systems. Search on Bibsonomy IEEE Trans. Ind. Informatics The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Sujan Pandey, Christian Genz, Rolf Drechsler Co-synthesis of custom on-chip bus and memory for MPSoC architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Xiaolan Zhang 0003, Jim Kurose, Brian Neil Levine, Donald F. Towsley, Honggang Zhang 0003 Study of a bus-based disruption-tolerant network: mobility modeling and impact on routing. Search on Bibsonomy MobiCom The full citation details ... 2007 DBLP  DOI  BibTeX  RDF mobility trace modeling, DTN, epidemic routing
41Hui Kong 0002, Tan Yan, Martin D. F. Wong, Muhammet Mustafa Ozdal Optimal bus sequencing for escape routing in dense PCBs. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Milenko Drinic, Darko Kirovski, Seapahn Megerian, Miodrag Potkonjak Latency-Guided On-Chip Bus-Network Design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Sujan Pandey, Tudor Murgan, Manfred Glesner Energy Conscious Simultaneous Voltage Scaling and On-chip Communication Bus Synthesis. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Sudeep Pasricha, Nikil D. Dutt, Mohamed Ben-Romdhane Constraint-driven bus matrix synthesis for MPSoC. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Sujan Pandey, Manfred Glesner Energy efficient MPSoC on-chip communication bus synthesis using voltage scaling technique. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Nattawut Thepayasuwan, Alex Doboli Layout conscious approach and bus architecture synthesis for hardware/software codesign of systems on chip optimized for speed. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41Haris Lekatsas, Jörg Henkel, Wayne H. Wolf Approximate arithmetic coding for bus transition reduction in low power designs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41Suresh Srinivasan, Lin Li 0002, Narayanan Vijaykrishnan Simultaneous Partitioning and Frequency Assignment for On-Chip Bus Architectures. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41Muhammad M. Khellah, Maged Ghoneima, James W. Tschanz, Yibin Ye, Nasser A. Kurd, Javed Barkatullah, Srikanth Nimmagadda, Yehea I. Ismail A Skewed Repeater Bus Architecture for On-Chip Energy Reduction in Microprocessors. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41Suvodeep Gupta, Srinivas Katkoori A Fast Word-Level Statistical Estimator of Intra-Bus Crosstalk. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
41Meeyoung Cha, Chun-Gi Lyuh, Taewhan Kim Resource-constrained low-power bus encoding with crosstalk delay elimination. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
41YongJoon Kim, DongSub Song, YongSeung Shin, Sunghoon Chun, Sungho Kang 0001 A New Maximal Diagnosis Algorithm for Bus-structured Systems. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
41Rung-Bin Lin, Chi-Ming Tsai Theoretical analysis of bus-invert coding. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
41Diana Hecht, Constantine Katsinis Protocols for Fault-Tolerant Distributed-Shared-Memory on the SOME-Bus Multiprocessor Architecture. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
41Dongxiao Li, Qingdong Yao, Peng Liu 0016, Li Zhou A bus arbitration scheme for HDTV decoder SoC. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
41Wonjong Noh, Sangkyung Kim, Dong-Ho Kim, Youngsik Ma, Sunshin An Adaptive Bus Model for Distributed Multimedia Stream in Mobile Computing Environments. Search on Bibsonomy ICOIN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
41Jochen Liedtke, Marcus Völp, Kevin Elphinstone Preliminary thoughts on memory-bus scheduling. Search on Bibsonomy ACM SIGOPS European Workshop The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
41Andrea Acquaviva, Riccardo Scarsi A spatially-adaptive bus interface for low-switching communication (poster session). Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
41Constantine Katsinis Distributed-Shared-Memory Support on the Simultaneous Optical Multiprocessor Exchange Bus. Search on Bibsonomy MASCOTS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
41Gregory E. Beers, Lizy Kurian John Novel Memory Bus Driver/Receiver Architecture for Higher Throughput. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
41Laxmi N. Bhuyan, Ashwini K. Nanda Multistage bus network (MBN): an interconnection network for cache coherent multiprocessors. Search on Bibsonomy SPDP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
40Gianluca Casarosa, Michele Apuzzo, Luca Fanucci, Bruno Sarti Characterization of the EMC Performances of the CAN Bus in a Typical System Bus Architecture for Small Satellites. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Hua Wang, Antonis Papanikolaou, Miguel Miranda, Francky Catthoor A global bus power optimization methodology for physical design of memory dominated systems by coupling bus segmentation and activity driven block placement. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Sudeep Pasricha, Nikil D. Dutt, Mohamed Ben-Romdhane Extending the transaction level modeling approach for fast communication architecture exploration. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF bus cycle accurate modeling, communication architecture exploration, shared bus architectures, transaction level modeling, AMBA
38Jui-Hua Li, Nam Ling An efficient video decoder design for MPEG-2 MP@ML. Search on Bibsonomy ASAP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF video decoder design, MPEG-2 MP@ML, video decoder architecture, function-specific processing blocks, variable-length decoder, inverse 2-D discrete cosine transform, motion compensation unit, bus-monitoring model, bus arbitration schemes, DRAM accesses, motion compensation, buffer sizes
38Eun-Gu Jung, Jeong-Gun Lee, Kyoung-Son Jhang, Jeong-A Lee, Dong-Soo Har Asynchronous Layered Interface of Multimedia SoCs for Multiple Outstanding Transactions. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multiple outstanding transactions, in-order/out-of-order transaction completion, asynchronous on-chip bus, GALS
38Charbel J. Akl, Magdy A. Bayoumi Transition Skew Coding: A Power and Area Efficient Encoding Technique for Global On-Chip Interconnects. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF transition skew coding, global on-chip interconnects, bus encoding technique, encoding latencies, decoding latencies, 90 nm
38Jiangjiang Liu 0002, Krishnan Sundaresan, Nihar R. Mahapatra Efficient encoding for address buses with temporal redundancy for simultaneous area and energy reduction. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF address bus, low power, encoding, energy dissipation
38Enrico Macii, Massimo Poncino, Sabino Salerno Combining wire swapping and spacing for low-power deep-submicron buses. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low-power design, physical design, crosstalk, bus encoding
38W. J. Bainbridge, Stephen B. Furber Asynchronous Macrocell Interconnect using MARBLE. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Macrocell Bus, VLSI, Interconnect, Asynchronous
38Shigeaki Iwasa, Shung Ho Shing, Hisashi Mogi, Hiroshi Nozuwe, Hiroo Hayashi, Osamu Wakamori, Takashi Ohmizo, Kuninori Tanaka, Hiroshi Sakai, Mitsuo Saito SSM-MP: more scalability in shared-memory multi-processor. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SSM-MP, shared-memory multi-processor, cache refill latency, bus bottle neck problem, MTag, scalability, shared memory systems, cache coherency, memory architecture, multi-processor system
38David W. Pritty, Duncan N. Smeed, Norman L. Lawrie A new class of high speed LAN access protocols based on the principle of timed packet release. Search on Bibsonomy LCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF timed packet release, high speed local area network, bus structures, TPR, performance evaluation, performance, discrete event simulation, discrete event simulation, local area networks, access protocols, access protocols, comparative evaluation, high speed LAN
37Amitava Datta Multiple Addition and Prefix Sum on a Linear Array with a Reconfigurable Pipelined Bus System. Search on Bibsonomy J. Supercomput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF pipelined bus, reconfigurable bus, matrix multiplication, addition, optical computing, prefix sum
37Jih-Fu Tu, Chih-Yung Chen An Effective Bus-Band Arbiter for Processors Communication. Search on Bibsonomy AINA (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Bus-based arbitration circuit, multistage bus network (MBN), M-to-B Arbiter and inter-processor communication
37Amitava Datta, Subbiah Soundaralakshmi, Robyn A. Owens Fast Sorting Algorithms on a Linear Array with a Reconfigurable Pipelined Bus System. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF reconfigurable bus, pipelined communication, merging, sorting algorithm, optical bus, deterministic sampling
37Haris Lekatsas, Jörg Henkel ETAM++: Extended Transition Activity Measure for Low Power Address Bus Designs. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF bus invert, low power, bus encoding
37Sushil Kumar, Anura P. Jayasumana Request based channel access protocol on folded bus topology. Search on Bibsonomy LCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF channel access protocol, folded bus topology, Request Based Channel Access, RBCA protocol, bandwidth request, dedicated monitor node, folded bus, multichannel optical networks, protocols, local area networks, optical communication, data transmission
37Daniele Rossi 0001, André K. Nieuwland, Steven V. E. S. van Dijk, Richard P. Kleihorst, Cecilia Metra Power Consumption of Fault Tolerant Busses. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
37Kimish Patel, Wonbok Lee, Massoud Pedram In-order pulsed charge recycling in off-chip data buses. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF data buses, power, charge recycling
37Ari Kulmala, Erno Salminen, Marko Hännikäinen, Timo D. Hämäläinen Evaluating SoC Network Performance in MPEG-4 Encoder. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Jun Yang 0002, Rajiv Gupta 0001, Chuanjun Zhang Frequent value encoding for low power data buses. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF I/O pin capacitance, Low power data buses, internal capacitance, encoding, switching
37Kanishka Lahiri, Anand Raghunathan Power analysis of system-level on-chip communication architectures. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF system-on-chip, network-on-chip, low-power design, power analysis, communication architectures
37Mountassar Maamoun, Abdelhalim Benbelkacem, Daoud Berkani, Abderrezak Guessoum Interfacing in Microprocessor-based Systems with a Fast Physical Addressing. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Software/hardware System, Fast Physical Addressing, Interfacing, DMA
37Ou He, Sheqin Dong, Jinian Bian, Satoshi Goto, Chung-Kuan Cheng Bus via reduction based on floorplan revising. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF floorplan revising, via reduction, bus routing
37Shanq-Jang Ruan, Tsang-Chi Kan, Jih-Chieh Hsu A novel crosstalk quantitative approach for simultaneously reducing power, noise, and delay based on bus-invert encoding schemes. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bus-invert, coupling, interconnect delay
37Horng-Ren Tsai Parallel Algorithms for the Weighted Distance Transform on Linear Arrays with a Reconfigurable Pipelined Bus System. Search on Bibsonomy ICA3PP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF linear array with a reconfigurable pipelined bus system, parallel algorithms, image processing, Distance transform
37Daniele Rossi 0001, André K. Nieuwland, Cecilia Metra Simultaneous Switching Noise: The Relation between Bus Layout and Coding. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bus layout, switching patterns, system reliability, IC, power supply network, simultaneous switching noise, coding techniques
37Masanori Muroyama, Tohru Ishihara, Hiroto Yasuura Analysis of Effects of Input Arrival Time Variations on On-Chip Bus Power Consumption. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Arrival time variation, coupling power, power estiamtion, low power coding, on-chip bus
37Nevin Kirman, Meyrem Kirman, Rajeev K. Dokania, José F. Martínez, Alyssa B. Apsel, Matthew A. Watkins, David H. Albonesi On-Chip Optical Technology in Future Bus-Based Multicore Designs. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF optical technology, snoopy bus, chip multiprocessor, on-chip interconnect
37Thomas William Ainsworth, Timothy Mark Pinkston On Characterizing Performance of the Cell Broadband Engine Element Interconnect Bus. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Element Interconnect Bus, heterogeneous multicore, network characterization, interconnection networks, network-on-chip, Cell Broadband Engine, on-chip network, performance bottleneck
37Fan Mo, Robert K. Brayton Semi-detailed bus routing with variation reduction. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF routing, variation, bus
37Wen-Wen Hsieh, Po-Yuan Chen, TingTing Hwang A bus architecture for crosstalk elimination in high performance processor design. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction/data bus, architecture, high performance, crosstalk
37Darren J. Reed, Peter C. Wright Experiencing BLISS when becoming a bus passenger. Search on Bibsonomy Conference on Designing Interactive Systems The full citation details ... 2006 DBLP  DOI  BibTeX  RDF experience framework, experience scenarios, real-time bus information, conversation analysis
37Eun-Gu Jung, Jeong-Gun Lee, Sanghoon Kwak, Kyoung-Sun Jhang, Jeong-A Lee, Dong-Soo Har High performance asynchronous on-chip bus with multiple issue and out-of-order/in-order completion. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF asynchronous on-chip bus, in-order completion, multiple issue, out-of-order completion, SoC, GALS
37Chulho Shin, Young-Taek Kim, Eui-Young Chung, Kyu-Myung Choi, Jeong-Taek Kong, Soo-Kwan Eo Fast Exploration of Parameterized Bus Architecture for Communication-Centric SoC Design. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Bus Configuration, genetic algorithm, Optimization, Platform-based design, SoC design
37Xiaotong Zhuang, Tao Zhang 0037, Santosh Pande HIDE: an infrastructure for efficiently protecting information leakage on the address bus. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF address bus leakage protection, secure processor
37Trevor Meyerowitz, Claudio Pinello, Alberto L. Sangiovanni-Vincentelli A tool for describing and evaluating hierarchical real-time bus scheduling policies. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF bus scheduling, scheduling, metrics, hybrid scheduling
37Yijie Han, Yi Pan 0001, Hong Shen 0001 Sublogarithmic Deterministic Selection on Arrays with a Reconfigurable Optical Bus. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF parallel algorithms, selection, Analysis of algorithms, massive parallelism, optical bus
37Horng-Ren Tsai Parallel Algorithms for the Medial Axis Transform on Linear Arrays with a Reconfigurable Pipelined Bus System. Search on Bibsonomy ICPADS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF linear array with a reconfigurable pipelined bus system, computer vision, parallel algorithms, image processing, image compression, Medial axis transform
37Huan-Yu Tu, Lois Wright Hawkes Families of Optimal Fault-Tolerant Multiple-Bus Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF partial-connection, multiple-bus, Fault tolerance, interconnection networks, multiprocessor, self-routing, randomized routing
37Cecilia Metra, Michele Favalli, Bruno Riccò Self-Checking Detection and Diagnosis of Transient, Delay, and Crosstalk Faults Affecting Bus Lines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF bus lines, diagnosis, transient faults, On-line testing, delay faults, self-checking, crosstalk faults
37Peter James Aldworth System-on-a-Chip Bus Architecture for Embedded Applications. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF architecture, interconnect, latency, infrastructure, system-on-a-chip, bus, capacitance, peripherals
37Jung-Joon Kim, Ahmed El-Amawy Performance and Architectural Features of Segmented Multiple Bus System. Search on Bibsonomy ICPP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF flit buffer, segment, wormhole routing, mean value analysis, multiple bus systems
37Si-Qing Zheng, Yueming Li A Pipelined TDM Optical Bus with Improved Performance. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF reconfigurable bus, parallel architecture, reconfigurable architecture, optical interconnection, optical switch
37H. Bekker, E. J. Dijkstra Delay-Insensitive Synchronization on a Message-Passing Architecture with an Open Collector Bus. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF delay-insensitive synchronization, open collector bus, high latency, constraint algorithm, SHAKE, Constraint Molecular Dynamics simulation, ring architecture, delay insensitive algorithm, performance evaluation, performance, parallel algorithms, parallel algorithms, parallel architectures, message passing, multiprocessor interconnection networks, multiprocessor interconnection networks, synchronisation, digital simulation, physics computing, system buses, communication time, message passing architecture
37Mircea R. Stan, Wayne P. Burleson Coding a terminated bus for low power. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF pull-up terminators, bus liner, limited-weight codes, parallel terminated buses, Rambus, perfect k/2-limited weight code, nonperfect 3-limited weight code, error correction codes, encoding, decoding, power dissipation, random-access storage, system buses
37Biing-Feng Wang, Gen-Huey Chen Constant Time Algorithms for the Transitive Closure and Some Related Graph Problems on Processor Arrays with Reconfigurable Bus Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF related graph problems, reconfigurable bus systems, parallel algorithms, graph theory, minimum spanning trees, bipartite graphs, transitive closure, transitive closure, connected components, processor arrays, undirected graph, bridges, biconnected components, graph problems, articulation points
36Chien-Yen Chang, Chai-Chun Li Visual and Operational Impacts of Variable Speed Limit Signs on Bus Drivers on Freeways Using Driving Simulator. Search on Bibsonomy APSCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Lei Li, Zheng Cao, Mingyu Chen 0001, Jianping Fan 0002 Design and Evaluation of Optical Bus in High Performance Computer. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Dae Hyun Kim 0004, Sung Kyu Lim Global bus route optimization with application to microarchitectural design exploration. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Truong Quang Vinh, Young-Chul Kim 0001 A low power crosstalk-free bus encoding using genetic algorithm. Search on Bibsonomy AICCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Jarrod A. Roy, Farinaz Koushanfar, Igor L. Markov Protecting bus-based hardware IP by secret sharing. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cryptography, manufacturing, integrated circuits, computer crime
36Masaru Takesue The SKB: A Semi-Completely-Connected Bus for On-Chip Systems. Search on Bibsonomy NPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Fan Mo, Robert K. Brayton A simultaneous bus orientation and bused pin flipping algorithm. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Chandan Giri, Santanu Chattopadhyay Reducing Test-bus Power Consumption in Huffman Coding Based Test Data Compression for SOCs. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Chun-Mok Chung, Jihong Kim 0001, Dohyung Kim Reducing snoop-energy in shared bus-based mpsocs by filtering useless broadcasts. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF broadcast filtering, low-energy cache coherency, MPSoC
36Peter Wohl, John A. Waicukauski, Sanjay Patel Automated Design and Insertion of Optimal One-Hot Bus Encoders. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Sudeep Pasricha, Nikil D. Dutt, Elaheh Bozorgzadeh, Mohamed Ben-Romdhane FABSYN: floorplan-aware bus architecture synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Brock J. LaMeres, Sunil P. Khatri Bus stuttering: an encoding technique to reduce inductive noise in off-chip data transmission. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Ru Yang, Gang Feng, Donghai Li Power Optimization for Bus on Multimedia SoC. Search on Bibsonomy IIH-MSP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Harmander Deogun, Rahul M. Rao, Dennis Sylvester, Richard B. Brown, Kevin J. Nowka Dynamically Pulsed MTCMOS with Bus Encoding for Total Power and Crosstalk Minimization. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Peter Petrov, Alex Orailoglu Low-power instruction bus encoding for embedded processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Dongwan Shin, Samar Abdi, Daniel Gajski Automatic generation of bus functional models from transaction level models. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Constantine Katsinis A Model of Distributed-Shared-Memory on the SOME-Bus Architecture. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Constantine Katsinis, Diana Hecht SOME-Bus-NOW: A Network of Workstations with Broadcast. Search on Bibsonomy NCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Abhik Roychoudhury, Tulika Mitra, S. R. Karri Using Formal Techniques to Debug the AMBA System-on-Chip Bus Protocol. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Masaru Takahashi, Boon-Keat Tan, Hiroshi Iwamura, Toshimasa Matsuoka, Kenji Taniguchi 0001 A study of robustness and coupling-noise immunity on simultaneous data transfer CDMA bus interface. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Hairong Sun, Xinyu Zang, Kishor S. Trivedi Performance of broadcast and unknown server (BUS) in ATM LAN emulation. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF LAN emulation, broadcast and unknown server, stochastic petri net package, ATM, stochastic reward nets
36Kanna Shimizu, David L. Dill, Ching-Tsun Chou A Specification Methodology by a Collection of Compact Properties as Applied to the Intel® ItaniumTM Processor Bus Protocol. Search on Bibsonomy CHARME The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
36Bruce R. Childers, Tarun Nakra Reordering Memory Bus Transactions for Reduced Power Consumption. Search on Bibsonomy LCTES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 14699 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license