The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Prefetch with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1978-1992 (15) 1993-1994 (17) 1995-1996 (23) 1997 (18) 1998-1999 (31) 2000 (23) 2001 (30) 2002 (37) 2003 (28) 2004 (53) 2005 (47) 2006 (28) 2007 (43) 2008 (31) 2009 (23) 2010 (15) 2011-2012 (26) 2013-2015 (17) 2016-2018 (19) 2019-2020 (21) 2021-2022 (18) 2023-2024 (12)
Publication types (Num. hits)
article(139) inproceedings(434) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 568 occurrences of 320 keywords

Results
Found 575 publication records. Showing 575 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
30Shih-wei Liao, Tzu-Han Hung, Donald Nguyen, Chinyen Chou, Chia-Heng Tu, Hucheng Zhou Machine learning-based prefetch optimization for data center applications. Search on Bibsonomy SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Mary Magdalene Jane F., Ilayaraja N., Ashwin Raghav M., R. Nadarajan, Maytham Safar Cache prefetch and replacement with dual valid scopes for location dependent data in mobile environments. Search on Bibsonomy iiWAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF location-dependent information services, performance evaluation, mobile computing, cache replacement
30Hsiao-Hsi Wang, Kuan-Ching Li, Ssu-Hsuan Lu, Chun-Chieh Yang, Jean-Luc Gaudiot Design and Implementation of an Agent Home Scheme Strategy for Prefetch-Based DSM Systems. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Prefetching strategy, Home-based, Cluster computing, DSM systems
30Marius Grannæs, Magnus Jahre, Lasse Natvig Low-cost open-page prefetch scheduling in chip multiprocessors. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Jungsoo Kim, Kyungsu Kang, Heejun Shim, Woong Hwangbo, Chong-Min Kyung Fast estimation of software energy consumption using IPI(Inter-Prefetch Interval) energy model. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Bernardo de la Ossa, José A. Gil 0001, Julio Sahuquillo, Ana Pont Web prefetch performance evaluation in a real environment. Search on Bibsonomy LANC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF performance evaluation, web prefetching
30Javier Resano, Daniel Mozos, Francky Catthoor A Hybrid Prefetch Scheduling Heuristic to Minimize at Run-Time the Reconfiguration Overhead of Dynamically Reconfigurable Hardware. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Maria Hong, Euisun Kang, Sungmin Um, Dongho Kim, Younghwan Lim A Transcode and Prefetch Technique of Multimedia Presentations for Mobile Terminals. Search on Bibsonomy ICCSA (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Chi-Hung Chi, Jun-Li Yuan Runtime Association of Software Prefetch Control to Memory Access Instructions (Research Note). Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Wei Jin, Xiaobai Sun, Jeffrey S. Chase FastSlim: prefetch-sfe trace reduction for I/O cache simulation. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF I/O architectures, performance evaluation, operating systems, prefetching, virtual memory, trace-driven simulation, file caching, trace reduction
30Steven P. Vanderwiel, David J. Lilja Data prefetch mechanisms. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF prefetching, memory latency
30Kevin D. Rich, Matthew K. Farrens The Decoupled-Style Prefetch Architecture (Research Note). Search on Bibsonomy Euro-Par The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Angkul Kongmunvattana, Nian-Feng Tzeng Lazy Logging and Prefetch-Based Crash Recovery in Software Distributed Shared Memory Systems. Search on Bibsonomy IPPS/SPDP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Chi-Hung Chi, Jun-Li Yuan Load-Balancing Branch Target Cache and Prefetch Buffer. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF branch target cache, load-balancing, Memory, prefetching, instruction cache
30Scott Hauck Configuration Prefetch for Single Context Reconfigurable Coprocessors. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29Dong Hyuk Woo, Hsien-Hsin S. Lee COMPASS: a programmable data prefetcher using idle GPU shaders. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF compute shader, GPU, prefetch
29Hsiao-Hsi Wang, Kuan-Ching Li, Ssu-Hsuan Lu, Chun-Chieh Yang Towards implementation of a novel scheme for data prefetching on distributed shared memory systems. Search on Bibsonomy J. Supercomput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Adaptive data classification scheme, Effective prefetch strategy, Distributed shared memory
29Alokika Dash, Brian Demsky Software transactional distributed shared memory. Search on Bibsonomy PPoPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF path-expression prefetch, prefetching objects, transactional memory, distributed shared memory
29Tong Chen 0001, Tao Zhang, Zehra Sura, Marc González Tallada Prefetching irregular references for software cache on cell. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF prefetch, DMA, software cache
29Michael Ferdman, Babak Falsafi Last-Touch Correlated Data Streaming. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF predictor lookahead, last-touch correlated data streaming, address-correlating predictor, cache block address identification, correlation data storage, program active memory footprint, prediction lookahead, off-chip correlation data lookup, scalable on-chip table, low-latency lookup, on-chip storage, last-touch predictor, prefetch, superscalar processor, cycle-accurate simulation
29Chao-Chun Chen, Chiang Lee, Chun-Chiang Wang, Yu-Chi Chung Prefetching LDD: a benefit-oriented approach. Search on Bibsonomy IWCMC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF benefit analysis, pervasive service, prefetch, mobile data management, location dependent data
29Shoaib Kamil 0001, Parry Husbands, Leonid Oliker, John Shalf, Katherine A. Yelick Impact of modern memory subsystems on cache optimizations for stencil computations. Search on Bibsonomy Memory System Performance The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance modeling, prefetch, stencil, cache blocking
29Thomas R. Puzak, Allan Hartstein, Philip G. Emma, Viji Srinivasan When prefetching improves/degrades performance. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF prefetching algorithm, cache, prefetch, coverage, accuracy, timeliness
29Ling Feng, Hongjun Lu Managing Multiuser Database Buffers Using Data Mining Techniques. Search on Bibsonomy Knowl. Inf. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Multiuser database systems, Data mining, Placement, Prefetch, Replacement
29Kenneth W. Batcher, Robert A. Walker 0001 Cluster miss prediction for instruction caches in embedded networking applications. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF compulsory cache misses, hiding memory latency, embedded systems, networking, WCET, cache design, cache prefetch
29Honesty C. Young, Arun N. Swami The parameterized Round-Robin partitioned algorithm for parallel external sort. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF parameterized Round-Robin partitioned algorithm, parallel external sort, partition key values, parallel algorithms, computational complexity, complexity, message passing, sorting, coding, data prefetch, sort algorithm, message passing architecture
20Dan Pelleg, Eran Raichstein, Amir Ronen Using machine learning techniques to enhance the performance of an automatic backup and recovery system. Search on Bibsonomy SYSTOR The full citation details ... 2010 DBLP  DOI  BibTeX  RDF file and storage systems, readahead, machine learning, prefetching, systems
20Alokika Dash, Brian Demsky Symbolic prefetching in transactional distributed shared memory. Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF symbolic prefetching, transactional memory, distributed shared memory
20Zhou Yong, Sourav S. Bhowmick, Erwin Leonardi, Klarinda G. Widjanarko XBLEND: Visual XML Query Formulation Meets Query Processing. Search on Bibsonomy ICDE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Thomas F. Wenisch, Michael Ferdman, Anastasia Ailamaki, Babak Falsafi, Andreas Moshovos Practical off-chip meta-data for temporal memory streaming. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Stephen Curial, Peng Zhao, José Nelson Amaral, Yaoqing Gao, Shimin Cui, Raúl Silvera, Roch Archambault MPADS: memory-pooling-assisted data splitting. Search on Bibsonomy ISMM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF memory pooling, optimization, compilers, memory management, allocation strategies
20Roy Sai-chuen Ho, Cho-Li Wang, Francis Chi-Moon Lau Lightweight process migration and memory prefetching in openMosix. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Pao-Ann Hsiung, Pin-Hsien Lu, Chih-Wen Liu Energy efficient co-scheduling in dynamically reconfigurable systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF energy efficient, reconfigurable systems
20Fei Gao, Hanyu Cui, Suleyman Sair Two-level ata prefetching. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Minas Dasygenis, Erik Brockmeyer, Bart Durinck, Francky Catthoor, Dimitrios Soudris, Adonios Thanailakis A combined DMA and application-specific prefetching approach for tackling the memory latency bottleneck. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Sourav S. Bhowmick, Sandeep Prakash Every Click You Make, IWill Be Fetching It: Efficient XML Query Processing in RDMS Using GUI-driven Prefetching. Search on Bibsonomy ICDE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Wenlong Li, Eric Q. Li, Carole Dulong, Yen-Kuang Chen, Tao Wang 0003, Yimin Zhang 0002 Workload Characterization of a Parallel Video Mining Application on a 16-Way Shared-Memory Multiprocessor System. Search on Bibsonomy IISWC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Weng-Fai Wong Targeted Data Prefetching. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Yoshinori Ojima, Mitsuhisa Sato, Taisuke Boku, Daisuke Takahashi Design of a Software Distributed Shared Memory System using an MPI communication layer. Search on Bibsonomy ISPAN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Juanjo Noguera, Rosa M. Badia Multitasking on reconfigurable architectures: microarchitecture support and dynamic scheduling. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Adaptable architectures and microarchitectures, runtime support for dynamic reconfiguration, dynamic scheduling
20Kyle J. Nesbit, Ashutosh S. Dhodapkar, James E. Smith 0001 AC/DC: An Adaptive Data Cache Prefetcher. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Josef Weidendorfer, Carsten Trinitis Cache Optimizations for Iterative Numerical Codes Aware of Hardware Prefetching. Search on Bibsonomy PARA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Zachary Kurmas, Kimberly Keeton Using the Distiller to Direct the Development of Self-Configuration Software. Search on Bibsonomy ICAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Ming Zhu, Harsha Narravula, Constantine Katsinis, Diana Hecht Priority-Driven Active Data Prefetching. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Constantine Katsinis, Diana Hecht, Ming Zhu, Harsha Narravula Parallel Matrix Algorithms on a Broadcast-Based Architecture. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Sorin Iacobovici, Lawrence Spracklen, Sudarshan Kadambi, Yuan Chou, Santosh G. Abraham Effective stream-based and execution-based data prefetching. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hardware prefetcher, multiple strides, stream prefetching
20Murali Annavaram, Jignesh M. Patel, Edward S. Davidson Call graph prefetching for database applications. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Instruction cache prefetching, database, call graph
20Zhenlin Wang, Doug Burger, Steven K. Reinhardt, Kathryn S. McKinley, Charles C. Weems Guided Region Prefetching: A Cooperative Hardware/Software Approach. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Guohong Cao Proactive Power-Aware Cache Management for Mobile Computing Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF query latency, mobile computing, caching, power conservation, Invalidation report
20Roman L. Lysecky, Frank Vahid Prefetching for improved bus wrapper performance in cores. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Bus wrapper, PVCI, VSIA, interfacing, system-on-a-chip, intellectual property, cores, design reuse, on-chip bus
20Youfeng Wu, Mauricio J. Serrano, Rakesh Krishnaiyer, Wei Li 0015, Jesse Fang Value-Profile Guided Stride Prefetching for Irregular Code. Search on Bibsonomy CC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Mounir A. Tantaoui, Kien A. Hua, Simon Sheu Interaction with broadcast video. Search on Bibsonomy ACM Multimedia The full citation details ... 2002 DBLP  DOI  BibTeX  RDF fast reverse, latency, video on demand, periodic broadcast, fast forward
20Dong-Ho Lee, Jungsup Kim, Soo Duk Kim, Ki-Chang Kim, Yoo-Sung Kim, Jaehyun Park 0003 Adaptation of a Neighbor Selection Markov Chain for Prefetching Tiled Web GIS Data. Search on Bibsonomy ADVIS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Zhigang Hu, Margaret Martonosi, Stefanos Kaxiras Timekeeping in the Memory System: Predicting and Optimizing Memory Behavior. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF memory hierachy, time-based techniques, timekeeping prefetching, conflict miss identification, dead block prediction, victim cache filtering
20Dongkeun Kim, Donald Yeung Design and evaluation of compiler algorithms for pre-execution. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Angela Demke Brown, Todd C. Mowry, Orran Krieger Compiler-based I/O prefetching for out-of-core applications. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF prefetching, compiler optimization, virtual memory
20Gautam Doshi, Rakesh Krishnaiyer, Kalyan Muthukumar Optimizing Software Data Prefetches with Rotating Registers. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Julien Sébot, Nathalie Drach-Temam Memory Bandwidth: The True Bottleneck of SIMD Multimedia Performance on a Superscalar Processor. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Yefim Shuf, Mauricio J. Serrano, Manish Gupta 0002, Jaswinder Pal Singh Characterizing the memory behavior of Java workloads: a structured view and opportunities for optimizations. Search on Bibsonomy SIGMETRICS/Performance The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Daniel Ortega, Mateo Valero, Eduard Ayguadé A novel renaming mechanism that boosts software prefetching. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Hans-Juergen Boehm Reducing Garbage Collector Cache Misses. Search on Bibsonomy ISMM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Robert Cooksey, Dennis Colarelli, Dirk Grunwald Content-Based Prefetching: Initial Results. Search on Bibsonomy Intelligent Memory Systems The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Sathiamoorthy Manoharan, Kim See-Mu A Hardware Scheme for Data Prefetching. Search on Bibsonomy HPCN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20S.-M. Kim, Sathiamoorthy Manoharan A Parallel Processor Architecture for Prefetching. Search on Bibsonomy ISPAN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Glenn Reinman, Brad Calder, Todd M. Austin Fetch Directed Instruction Prefetching. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Li-San Li, Huang-Zhen Chun Lookahead Cache with Instruction Processing Unit for Filling Memory Gap. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Memory Gap, Lookahead Cache, Instruction Processing Unit
20Todd C. Mowry Tolerating Latency in Multiprocessors Through Compiler-Inserted Prefetching. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF prefetching, compiler optimization
20Shyh-An Chi, R.-Ming Shiu, Jih-Ching Chiu, Si-En Chang, Chung-Ping Chung Instruction Cache Prefetching with Extended BTB. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
20Martin Reisslein, Keith W. Ross A Join--the--Shortest--Queue Prefetching Protocol for VBR Video on Demand. Search on Bibsonomy ICNP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
20Vladimir Vlassov, Lars-Erik Thorelli Analytical Models of Multithreading with Data Prefetching. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
20Chun Xia, Josep Torrellas Instruction Prefetching of Systems Codes with Layout Optimized for Reduced Cache Misses. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
20Remzi H. Arpaci, David E. Culler, Arvind Krishnamurthy, Steve G. Steinberg, Katherine A. Yelick Empirical Evaluation of the CRAY-T3D: A Compiler Perspective. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
20Kun-Lung Wu, Philip S. Yu, James Z. Teng Performance Comparison of Thrashing Control Policies for Concurrent Mergesorts with Parallel Prefetching. Search on Bibsonomy SIGMETRICS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
20Alexandre Valentin Jamet, Georgios Vavouliotis, Daniel A. Jiménez, Lluc Alvarez, Marc Casas A Two Level Neural Approach Combining Off-Chip Prediction with Adaptive Prefetch Filtering. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
20Alexandre Valentin Jamet, Georgios Vavouliotis, Daniel A. Jiménez, Lluc Alvarez, Marc Casas A Two Level Neural Approach Combining Off-Chip Prediction with Adaptive Prefetch Filtering. Search on Bibsonomy HPCA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
20Yuxuan Zhang, Nathan Sobotka, Soyoon Park, Saba Jamilan, Tanvir Ahmed Khan, Baris Kasikci, Gilles A. Pokam, Heiner Litz, Joseph Devietti RPG2: Robust Profile-Guided Runtime Prefetch Generation. Search on Bibsonomy ASPLOS (2) The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
20Soma Niloy Ghosh, Vineet Sahula, Lava Bhargava Enhanced Multicore Performance Using Novel Thread-Aware Cache Coherence and Prefetch-Control Mechanism. Search on Bibsonomy IEEE Embed. Syst. Lett. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Huijing Yang, Juan Fang, Min Cai, Zhi Cai A Prefetch-Adaptive Intelligent Cache Replacement Policy Based on Machine Learning. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Juan Fang, Yixiang Xu, Han Kong, Min Cai A prefetch control strategy based on improved hill-climbing method in asymmetric multi-core architecture. Search on Bibsonomy J. Supercomput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Hongfu Li SoCo: Graph Storage and Software Prefetch Co-Design for Dynamic Graph Processing. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Tao Xu, Youchao Sun Prefetch and Push Method of Flight Information Based on Migration Workflow. Search on Bibsonomy J. Aerosp. Inf. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Burak Ocalan, Ozcan Ozturk 0001 Utilizing Prefetch Buffers for Iterative Graph Applications. Search on Bibsonomy DSD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Zengshi Wang, Chao Fu, Jun Han 0003 Coupled Data Prefetch and Cache Partitioning Scheme for CPU-Accelerator System. Search on Bibsonomy ASICON The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Louis-Marie Nicolas, Salim Mimouni, Philippe Couvée, Jalil Boukhobza GrIOt: Graph-based Modeling of HPC Application I/O Call Stacks for Predictive Prefetch. Search on Bibsonomy SC Workshops The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Soma Niloy Ghosh, Vineet Sahula, Lava Bhargava Reinforcement Learning Based Prefetch-Control Mechanism. Search on Bibsonomy APCCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Chrysostomos Symvoulidis, George Marinos, Athanasios Kiourtis, Argyro Mavrogiorgou, Dimosthenis Kyriazis HealthFetch: An Influence-Based, Context-Aware Prefetch Scheme in Citizen-Centered Health Storage Clouds. Search on Bibsonomy Future Internet The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
20Mengzhao Zhang, Jeong-Geun Kim, Su-Kyung Yoon, Shin-Dug Kim Dynamic recognition prefetch engine for DRAM-PCM hybrid main memory. Search on Bibsonomy J. Supercomput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
20Manel Lurbe, Josué Feliu, Salvador Petit, María Engracia Gómez, Julio Sahuquillo DeepP: Deep Learning Multi-Program Prefetch Configuration for the IBM POWER 8. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
20Toru Koizumi 0001, Tomoki Nakamura, Yuya Degawa, Hidetsugu Irie, Shuichi Sakai, Ryota Shioya T-SKID: Predicting When to Prefetch Separately from Address Prediction. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
20Juan Fang, Zixuan Nie, Li'ang Zhao PACP: A Prefetch-aware Multi-core Shared Cache Partitioning Strategy. Search on Bibsonomy ICCAI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
20Moritz Lipp, Daniel Gruss, Michael Schwarz 0001 AMD Prefetch Attacks through Power and Time. Search on Bibsonomy USENIX Security Symposium The full citation details ... 2022 DBLP  BibTeX  RDF
20Jianxin Shi, Lingjun Pu, Xinjing Yuan, Qianyun Gong, Jingdong Xu Sophon: Super-Resolution Enhanced 360° Video Streaming with Visual Saliency-aware Prefetch. Search on Bibsonomy ACM Multimedia The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
20Adam Duby, Teryl Taylor, Yanyan Zhuang Malware Family Classification via Residual Prefetch Artifacts. Search on Bibsonomy CCNC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
20Yanan Guo 0002, Andrew Zigerelli, Youtao Zhang, Jun Yang 0002 Adversarial Prefetch: New Cross-Core Cache Side Channel Attacks. Search on Bibsonomy SP The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
20Adam Duby, Teryl Taylor, Gedare Bloom, Yanyan Zhuang Detecting and Classifying Self-Deleting Windows Malware Using Prefetch Files. Search on Bibsonomy CCWC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
20I. Hariharan, Muniandi Kannan 0001 Algorithms for reducing reconfiguration overheads using prefetch, reuse, and optimal mapping of tasks. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
20Jianli Cao, Zhikui Chen, Yuxin Wang, He Guo 0001, Pengcheng Wang Instruction Prefetch for Improving GPGPU Performance. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2021 DBLP  BibTeX  RDF
20Ricardo Alves 0001, Stefanos Kaxiras, David Black-Schaffer Early Address Prediction: Efficient Pipeline Prefetch and Reuse. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
20Yanan Guo 0002, Andrew Zigerelli, Youtao Zhang, Jun Yang 0002 Adversarial Prefetch: New Cross-Core Cache Side Channel Attacks. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
20Nadja Ramhöj Holtryd, Madhavan Manivannan, Per Stenström, Miquel Pericàs CBP: Coordinated management of cache partitioning, bandwidth partitioning and prefetch throttling. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
Displaying result #101 - #200 of 575 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license