The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for RLC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1963-1993 (16) 1994-1997 (22) 1998-1999 (24) 2000 (16) 2001 (16) 2002 (42) 2003 (40) 2004 (42) 2005 (35) 2006 (50) 2007 (31) 2008 (23) 2009-2010 (26) 2011-2012 (16) 2013-2015 (15) 2016-2017 (23) 2018-2019 (15) 2020-2021 (22) 2022-2023 (15) 2024 (3)
Publication types (Num. hits)
article(174) data(1) incollection(1) inproceedings(313) phdthesis(3)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 203 occurrences of 144 keywords

Results
Found 492 publication records. Showing 492 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
30Taeyong Je, Yungseon Eo Efficient Signal Integrity Verification Method of Multi-Coupled RLC Interconnect Lines with Asynchronous Circuit Switching. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Chia-Chun Tsai, Jan-Ou Wu, Yu-Ting Shieh, Chung-Chieh Kuo, Trong-Yen Lee Tapping Point Numerical-Based Search for Exact Zero-Skew RLC Clock Tree Construction. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Tong Jing, Ling Zhang, Jinghong Liang, Jingyu Xu, Xianlong Hong, Jinjun Xiong, Lei He 0001 A Min-area Solution to Performance and RLC Crosstalk Driven Global Routing Problem. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Shang-Wei Tu, Jing-Yang Jou, Yao-Wen Chang RLC coupling-aware simulation for on-chip buses and their encoding for delay reduction. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Yici Cai, Bin Liu 0007, Qiang Zhou 0001, Xianlong Hong Integrated routing resource assignment for RLC crosstalk minimization. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Aziz S. Inan, Peter M. Osterberg Special singularity integrals encountered in electric circuits [RLC circuit examples]. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Mingcui Zhou, Wentai Liu, Mohanasankar Sivaprakasam A closed-form delay formula for on-chip RLC interconnects in current-mode signaling. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Lakshmi Kalpana Vakati, Janet Meiling Wang A new multi-ramp driver model with RLC interconnect load. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF inductance criteria, multi-ramp driver model, transmission line effects, interconnect modeling, effective capacitance
30Seongkyun Shin, Yungseon Eo, William R. Eisenstadt, Jongin Shim Analytical Dynamic Time Delay Model of Strongly Coupled RLC Interconnect Lines Dependent on Switching. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Shidhartha Das, Kanak Agarwal, David T. Blaauw, Dennis Sylvester Optimal Inductance for On-chip RLC Interconnections. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Masud H. Chowdhury, Chirayu S. Amin, Yehea I. Ismail, Chandramouli V. Kashyap, Byron Krauter Realizable reduction of RLC circuits using node elimination. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Kai-Sheng Lu Some structural conditions under which an RLC network is controllable over F(z). Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Dorothy Kucar, Anthony Vannelli InterconnectionModelling Using Distributed RLC Models. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Hui Zheng, Lawrence T. Pileggi, Michael W. Beattie, Byron Krauter Window-Based Susceptance Models for Large-Scale RLC Circuit Analyses. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Bipin Rajendran, Veerbhan Kheterpal, Abhishek Das, Jayanta Majumder, Chittaranjan A. Mandal, P. P. Chakrabarti 0001 Timing analysis of tree-like RLC circuits. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Ji-Eun Kang, Dong-Min Kim, Yong-Chul Shin, Hee-Yoon Park, Jai-Yong Lee Performance Evaluation of TCP over WCDMA RLC. Search on Bibsonomy ICOIN (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Himanshu Kaul, Dennis Sylvester, David T. Blaauw Active shielding of RLC global interconnects. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Kanak Agarwal, Dennis Sylvester, David T. Blaauw A library compatible driving point model for on-chip RLC interconnects. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Payam Heydari, Massoud Pedram Balanced truncation with spectral shaping for RLC interconnects. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF MATLAB
30Rui Wang, Kaushik Roy 0001, Cheng-Kok Koh Short-circuit power analysis of an inverter driving an RLC load. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Andrew B. Kahng, Sudhakar Muddu An analytical delay model for RLC interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30Qing Zhu, Wayne Wei-Ming Dai High-speed clock network sizing optimization based on distributed RC and lossy RLC interconnect models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30Carol V. Gura, Jacob A. Abraham Improved Methods of Simulating RLC Couple and Uncoupled Transmission Lines Based on the Method of Characteristics. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
22Jahangir Dadkhah Chimeh, Mohammad Hakkak, Paeiz Azmi, Hamidreza Bakhshi Internet connection with UMTS. Search on Bibsonomy Ann. des Télécommunications The full citation details ... 2009 DBLP  DOI  BibTeX  RDF TCP/ARQ, Fading channel, UTRAN
22Sampo Tuuna, Li-Rong Zheng 0001, Jouni Isoaho, Hannu Tenhunen Modeling of On-Chip Bus Switching Current and Its Impact on Noise in Power Supply Grid. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Yung-Ta Li, Zhaojun Bai, Yangfeng Su, Xuan Zeng 0001 Model Order Reduction of Parameterized Interconnect Networks via a Two-Directional Arnoldi Process. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Malek Boussif, Jeroen Wigard, Troels E. Kolding, Nina A. H. Madsen Errors on the HSUPA E-HICH Channel and Their Effect on System Performance. Search on Bibsonomy VTC Spring The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Mehboob Alam, Arthur Nieuwoudt, Yehia Massoud Frequency Selective Model Order Reduction via Spectral Zero Projection. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Chittarsu Raghunandan, K. S. Sainarayanan, M. B. Srinivas Area Efficient Bus Encoding Technique for Minimizing Simultaneous Switching Noise (SSN). Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Ning Mi, Boyuan Yan, Sheldon X.-D. Tan, Jeffrey Fan, Hao Yu 0001 General Block Structure-Preserving Reduced Order Modeling of Linear Dynamic Circuits. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Soroush Abbaspour, Hanif Fatemi, Massoud Pedram Non-gaussian statistical interconnect timing analysis. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Giulio Antonini, Giuseppe Ferri A ladder network delay model for coupled interconnects. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Juan J. Alcaraz, Fernando Cerdán Using Buffer Management in 3G Radio Bearers to Enhance End-to-End TCP Performance. Search on Bibsonomy AINA (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Jinwook Jang, Sheng Xu, Wayne P. Burleson Jitter in Deep Sub-Micron Interconnect. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Oumer M. Teyeb, Malek Boussif, Troels B. Sørensen, Jeroen Wigard, Preben E. Mogensen Emulation Based Performance Investigation of FTP File Downloads over UMTS Dedicated Channels. Search on Bibsonomy ICN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Lonnie J. Love, John F. Jansen, François G. Pin On the Modeling of Robots Operating on Ships. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Jingjing Fu, Zuying Luo, Xianlong Hong, Yici Cai, Sheldon X.-D. Tan, Zhu Pan Simultaneous Wire Sizing and Decoupling Capacitance Budgeting for Robust On-Chip Power Delivery. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22James D. Ma, Rob A. Rutenbar Interval-valued reduced order statistical interconnect modeling. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Zhu Pan, Yici Cai, Sheldon X.-D. Tan, Zuying Luo, Xianlong Hong Transient Analysis of On-Chip Power Distribution Networks Using Equivalent Circuit Modeling. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Qinwei Xu, Pinaki Mazumder Equivalent-circuit interconnect modeling based on the fifth-order differential quadrature methods. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Jérôme Lescot, François J. R. Clément Interconnect Parasitic Extraction Tool for Radio-Frequency Integrated Circuits. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Mario R. Casu, Mariagrazia Graziano, Gianluca Piccinini, Guido Masera, Maurizio Zamboni Effects of Temperature in Deep-Submicron Global Interconnect Optimization. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Timo Palenius, Janne Roos An efficient reduced-order interconnect macromodel for time-domain simulation. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Magdy A. El-Moursy, Eby G. Friedman Shielding effect of on-chip interconnect inductance. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF on-chip inductance, shielding effect, propagation delay, interconnect modeling, gate delay
22Yungseon Eo, Seongkyun Shin, William R. Eisenstadt, Jongin Shim Generalized traveling-wave-based waveform approximation technique for the efficient signal integrity verification of multicoupled transmission line system. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Seongkyun Shin, Yungseon Eo, William R. Eisenstadt, Jongin Shim Analytical signal integrity verification models for inductance-dominant multi-coupled VLSI interconnects. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF TWA, signal integrity verification, delay, crosstalk, ringing, signal integrity, transmission line, glitch, VLSI interconnect, traveling-wave
22Jun Chen 0008, Lei He 0001 Determination of worst-case crosstalk noise for non-switching victims in GHz+ buses. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interconnect design
22Altan Odabasioglu, Mustafa Celik, Lawrence T. Pileggi PRIMA: passive reduced-order interconnect macromodeling algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Jason Cong, David Zhigang Pan, Lei He 0001, Cheng-Kok Koh, Kei-Yong Khoo Interconnect design for deep submicron ICs. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  BibTeX  RDF required-arrival-time Steiner tree higher-order moment signal delay and integrity
22Ibrahim M. Elfadel, David D. Ling Zeros and Passivity of Arnoldi-Reduced-Order Models for Interconnect Networks. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Daksh Lehther, Sachin S. Sapatnekar Clock tree synthesis for multi-chip modules. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Pade' approximants, Interconnect optimization
22Curtis L. Ratzlaff, Lawrence T. Pillage RICE: rapid interconnect circuit evaluation using AWE. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Lawrence T. Pillage, Ronald A. Rohrer Asymptotic waveform evaluation for timing analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
22J. V. R. Ravindra, M. B. Srinivas Generic sub-space algorithm for generating reduced order models of linear time varying vlsi circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF krylov subspace techniques, monte-carlo simulation, model order reduction, rlc
22Chirayu S. Amin, Yehea I. Ismail, Florentin Dartu Piece-wise approximations of RLCK circuit responses using moment matching. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF RC, RLCK circuits, interconnect timing analysis, moments, RLC
22Shannon V. Morton On-Chip Inductance Issues in Multiconductor Systems. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF alpha microprocessor, cross-talk, interconnect, noise, inductance, transmission line, capacitance, resistance, buses, semiconductor, RLC
21Juan J. Alcaraz, Fernando Cerdán Slope based discard: a buffer management scheme for 3G links supporting TCP traffic. Search on Bibsonomy IWCMC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF TCP over 3G links, radio link control (RLC)
21Payam Heydari, Massoud Pedram Interconnect Energy Dissipation in High-Speed ULSI Circuits. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Ultra-large integrated (ULSI) circuits, Energy dissipation CMOS circuits, RLC circuits, Interconnect, Transmission lines
19Ahmed S. Elwakil, Anis Allagui, Ahmed Ibrahim El-Mesady, Amr Elsonbaty, Sohaib Majzoub, Brent J. Maundy Chaos in Inter-State-Controlled RLC Networks. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
19Zi-Ming Wang 0001, Xudong Zhao 0001, Xiaodi Li, Xianfu Zhang, Rui Mu Energy-Based Control for Switched Uncertain Port-Controlled Hamiltonian Systems With Its Application to RLC Circuit Systems. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
19Muzaffer Ates, Muhammet Ates Stability and passivity analysis of higher-order differential systems inspired by RLC circuits. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
19Mark Keran, Anestis Dounavis An Analytic RLC Model for Coupled Interconnects Which Uses a Numerical Inverse Laplace Transform. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Dusan Zorica, Stevan M. Cveticanin Dissipative and generative fractional RLC circuits in the transient regime. Search on Bibsonomy Appl. Math. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Matap Shankar, Swaroop Nandan Bora Generalized Ulam-Hyers-Rassias Stability of Solution for the Caputo Fractional Non-instantaneous Impulsive Integro-differential Equation and Its Application to Fractional RLC Circuit. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Siddhanta Borah, R. Kumar Designing an Optimized RLC Network for Efficient Soil Moisture Data Logger System Using IoT. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Pritam M. Gharat, Narges Shadab, Shrey Tiwari, Shuvendu K. Lahiri, Akash Lal Resource Leak Checker (RLC#) for C# Code using CodeQL. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Yutao Xu, Qiang Ye, Yujie Tang, Hui Huang, Kamran Sattar Awaisi RLC: A Reinforcement Learning Based Charging Scheme for Battery Swap Stations. Search on Bibsonomy GLOBECOM The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Sumit Kumar 0001, Chandan Kumar Sheemar, Jorge Querol, Amirhossein Nik, Symeon Chatzinotas Experimental Study of the Effects of RLC Modes for 5G-NTN Applications Using OpenAirInterface5G. Search on Bibsonomy GLOBECOM (Workshops) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Dong Liu, Hong Zhang 0003, Xiaoting Xiao, Qiuping Ma, Haoran Li, Guiyun Tian 0001, Bin Gao 0003, Jianbo Wu RLC Parameters Measurement and Fusion for High-Sensitivity Inductive Sensors. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Kristian Haska, Dusan Zorica, Stevan M. Cveticanin Frequency Characteristics of Dissipative and Generative Fractional RLC Circuits. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Siavash Kananian, George Alexopoulos, Ada S. Y. Poon Robust Wireless Interrogation of Fully-Passive RLC Sensors. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Yao Huang, Yao-Lin Jiang, Kang-Li Xu Model Order Reduction of RLC Circuit System Modeled by Port-Hamiltonian Structure. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Héléna Shourick, Damien Tromeur-Dervout, Laurent Chedot Accelerating the convergence of Dynamic Iteration method with Restricted Additive Schwarz splitting for the solution of RLC circuits. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  BibTeX  RDF
19Bartlomiej Sulikowski, Krzysztof Galkowski, Dongdong Zhao 0002, Li Xu 0004 Stability investigation and control synthesis of RLC ladder circuits modeled as uncertain spatially interconnected systems. Search on Bibsonomy ICARCV The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Srihari Das Sunkada Gopinath, Aneesh Deshmukh, Nayan Ostwal Efficient Timer Optimization Method for RLC in Mobile Communication. Search on Bibsonomy GLOBECOM (Workshops) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Saeed Ghaneei Aarani, MohammadReza Mehranpouy, Benoit Gosselin A Novel Ultra-Wideband Low-Noise Amplifier Using an Extended Bandwidth RLC Topology. Search on Bibsonomy NEWCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Nestor Tsafack, Abdullah M. Iliyasu, Jean De Dieu Nkapkop, Zeric Tabekoueng Njitacke, Jacques Kengne, Bassem Abd-El-Atty, Akram Belazi, Ahmed A. Abd El-Latif 0001 A memristive RLC oscillator dynamics applied to image encryption. Search on Bibsonomy J. Inf. Secur. Appl. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Mikel Irazabal, Elena López-Aguilera, Ilker Demirkol, Robert Schmidt 0001, Navid Nikaein Preventing RLC Buffer Sojourn Delays in 5G. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Charalampos Antoniadis, Nestor E. Evmorfopoulos, Georgios I. Stamoulis Graph-Based Sparsification and Synthesis of Dense Matrices in the Reduction of RLC Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Peyman Rezaei Baravati, Seyed Mohammad Hassan Hosseini, Majid Moazzami Comparing the New Improved RLC and CMTL Models for Measuring Partial Discharge in Transformer Winding. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Issam El Hamdi, Alessandro N. Vargas, Hassane Bouzahir, Ricardo C. L. F. Oliveira, Leonardo Acho Robust stability of stochastic systems with varying delays: Application to RLC circuit with intermittent closed-loop. Search on Bibsonomy Appl. Math. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Noemen Ammar, Gabzili Hanen Investigation of a Heterogeneous RLC Lattice with Triangular Topology, Excited by a Lumped Voltage Source. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Rafid Adnan Khan, Mohammad Muhtady Muhaisin, Gordon W. Roberts Extracting RLC Parasitics From a Flexible Electronic Hybrid Assembly Using On-Chip ESD Protection Circuits. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Mohamed M. Khader, José Francisco Gómez-Aguilar, Mohamed Adel Numerical study for the fractional RL, RC, and RLC electrical circuits using Legendre pseudo-spectral method. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Sunil Jadav, Shubham Tayal, Rajeevan Chandel, Munish Vashishath High speed RLC equivalent RC delay model using normalized asymptotic function for global VLSI interconnects. Search on Bibsonomy Microelectron. J. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Tang Liu 0001, Baijun Wu, Wenzheng Xu, Xianbo Cao, Jian Peng 0002, Hongyi Wu RLC: A Reinforcement Learning-Based Charging Algorithm for Mobile Devices. Search on Bibsonomy ACM Trans. Sens. Networks The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Adriana Lipovac, Vlatko Lipovac, Borivoj Modlic PHY, MAC, and RLC Layer Based Estimation of Optimal Cyclic Prefix Length. Search on Bibsonomy Sensors The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Jessica S. Mendoza, Isabel de la Bandera, David Palacios, Raquel Barco QoE Optimization in a Live Cellular Network through RLC Parameter Tuning. Search on Bibsonomy Sensors The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Kristian Haska, Dusan Zorica, Stevan M. Cveticanin Fractional RLC circuit in transient and steady state regimes. Search on Bibsonomy Commun. Nonlinear Sci. Numer. Simul. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Thomas Chaffey 0001, Rodolphe Sepulchre Monotone RLC circuits. Search on Bibsonomy ECC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Krzysztof Oprzedkiewicz A Discrete, Fractional Order, Memory-Effective State Space Model of a RLC Circuit. Search on Bibsonomy AUTOMATION The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Yue Yu 0004, Behçet Açikmese RLC Circuits-Based Distributed Mirror Descent Method. Search on Bibsonomy IEEE Control. Syst. Lett. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Lin Zhu, Danting Zhong, Bei Wang, Rongrui Lin, Min Xu Understanding Subsynchronous Oscillation in DFIG-Based Wind Farms With Rotor-Side Converter Control Based on the Equivalent RLC Model. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Vincent Roca, Belkacem Teibi Sliding Window Random Linear Code (RLC) Forward Erasure Correction (FEC) Schemes for FECFRAME. Search on Bibsonomy RFC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Jessica S. Mendoza, Isabel de la Bandera, David Palacios, Ana Herrera-García, Raquel Barco On the Capability of QoE Improvement Based on the Adjustment of RLC Parameters. Search on Bibsonomy Sensors The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Mohammad Saleh Tavazoei Conditions on Polynomials Involved in Admittance Functions Passively Realizable by Using RLC and Two Fractional Elements. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Satoshi Ichiki RLC LOAD Vdc Idc SIM. Search on Bibsonomy 2020   DOI  RDF
19Khanidtha Thinthaworn, Winai Jaikla, Peerawut Suwanjan, Suchin Adhan, Nattapol Srichaiya, Adisorn Kwawsibsame, Fabian Khateb A Compact Electronically Controllable Biquad Filter Synthesizing from Parallel Passive RLC Configuration. Search on Bibsonomy SICE The full citation details ... 2020 DBLP  BibTeX  RDF
19Shivani Gupta, Vandana Gupta Analytical modeling of RLC protocol of LTE using stochastic reward nets. Search on Bibsonomy Int. J. Commun. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Thomas Berger, Nicos Karcanias, Maria Livada The Pseudo-McMillan Degree of Implicit Transfer Functions of RLC Networks. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 492 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license