The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "VLSI-DAT"( http://dblp.L3S.de/Venues/VLSI-DAT )

URL (DBLP): http://dblp.uni-trier.de/db/conf/vlsi-dat

Publication years (Num. hits)
2012 (92) 2013 (94) 2014 (83) 2015 (87) 2017 (68) 2018 (71) 2019 (70) 2020 (61) 2021 (32) 2022 (50)
Publication types (Num. hits)
inproceedings(698) proceedings(10)
Venues (Conferences, Journals, ...)
VLSI-DAT(708)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 708 publication records. Showing 708 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Yingyan Lin Bringing Powerful Machine-Learning Systems to Daily-Life Devices via Algorithm-Hardware Co-Design. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Charlie Hong-Men Su The Rise of RISC-V from Edge to Cloud. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ofir Sharoni, Yael Sufrin, Avi Cohen, Rolf Seltmann, Aravind Narayana, Thomas Thamm Excursion Prevention Strategyto Increase Chip Performance by Photomask Tuning. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mitsumasa Koyanagi 3D Heterogeneous Integration Technology for AI System. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hung-Hsuan Lin AI in Transportation and Video Inference Speedup. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Zhe-Ting Liu, Davy P. Y. Wong, Pai H. Chou An Imu-Based Wearable Ring For On-Surface Handwriting Recognition. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yu-Sian Lin, Soon-Jyh Chang, Chia-Ling Wei A Noise-shaping SAR Assisted MASH 2-1 Sigma-Delta Modulator. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Vivienne Sze Efficient Computing for AI and Robotics. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Richard Panek, Jakub Lojda, Jakub Podivinsky, Zdenek Kotásek Reliability Analysis of Reconfiguration Controller for FPGA-Based Fault Tolerant Systems: Case Study. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kiyotaka Sasagawa, Makito Haruta, Yasumi Ohta, Hironari Takehara, Jun Ohta Miniaturized CMOS imaging device for implantable applications. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yong-Yu Lin, Fan-ta Chen, Wei-Zen Chen A Millimeter-Wave Frequency Synthesizer for 60 GHz Wireless Interconnect. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1 2020 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2020, Hsinchu, Taiwan, August 10-13, 2020 Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  BibTeX  RDF
1Yoshiki Kakuta, Reika Kinoshita, Hiroshi Kinoshita, Chihiro Matsui, Ken Takeuchi Real-time Error Monitoring System Considering Endurance and Data-retention Characteristics of TaOX-based ReRAM Storage with Workloads at Data Centers. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wen-Pin Hsu Intelligent Document Recognition on Financial Process Automation. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Chi-Yun Yang, Yang-Ming Yeh, Yi-Chang Lu Hardware Architecture and Implementation of Clustered Tensor Approximation for Multi-Dimensional Visual Data. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jinn-Shyan Wang, Chien-Tung Liu, Chao-Hsiang Wang Low-Active-Energy and Low-Standby-Power Sub-threshold ROM for IoT Edge Sensing Systems. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hsin-I Wu, Ren-Song Tsay, Fong-Yuan Chang CORONA: A k-COnnected RObust Interconnection Network Generation Algorithm. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Takehiro Nakamura 5G Evolution and 6G. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wen-Chiang Chen 5G mmWAVE Technology Design Challenges and Development Trends. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hsiang-Chun Cheng, Yu-Hong Yang, Tai-Cheng Lee Analysis and Design of a Self-Charged Crystal Oscillator with Pulse Regulating Feedback Loop. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tsung-Wei Huang Programming Systems for Parallelizing VLSI CAD and Beyond. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yung-Hui Chung, Qi-Feng Zeng A 12-bit 100-kS/s SAR ADC for IoT Applications. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Chia-Hsiang Yang AI Acceleration with RISC-V for Edge Computing. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hong-Ke Lin, Pin-Han Lin, Chih-Wei Liu Design of a High-Throughput and Area-Efficient Ultra-Long FFT Processor. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kun-Chih Jimmy Chen, Zi-Jie Gao Integrated Group-based Valuable Sensor Selection Approach for Remaining Machinery Life Estimation in the Future Industry 4.0 Era. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Shu-Ming Liu, Luba Tang, Ning-Chi Huang, Der-Yu Tsai, Ming-Xue Yang, Kai-Chiang Wu Fault-Tolerance Mechanism Analysis on NVDLA-Based Design Using Open Neural Network Compiler and Quantization Calibrator. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hiroyuki Ito Edge-AI Based Cattle Behavior Estimation System for Grazing. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yi-Ru Chen, Hui-Hsin Liao, Chia-Hsuan Chang, Che-Chia Lin, Chao-Lin Lee, Yuan-Ming Chang, Chun-Chieh Yang, Jenq-Kuen Lee Experiments and optimizations for TVM on RISC-V Architectures with P Extension. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Zi-Yi Zhao, An-Tai Xiao, Jiun-In Guo Video Dehazing Hardware Accelerator Design based on Dark Channel Prior with Sky Preservation. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ryutaro Yasuhara TaOx ReRAM as a Highly-Reliable Embedded Memory and Its Application to Edge AI. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Xiaohua Huang, Bowen Wang, Woogeun Rhee, Zhihua Wang 0001 A 5.4GHz ΔΣ Bang-Bang PLL with 19dB In-Band Noise Reduction by Using a Nested PLL Filter. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yu-Jung Wang, Siou-Lin You, Zhen-Hao Zhu, Wei-Ting Lin, Cheng-You Ho, Chi-Lun Hsu, Chun-Hsing Lee, Hsi-Pin Ma A 24 Mbit/s Red LED-based Visible Light Communication System Employing DCO-OFDM Modulation. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Weida Zhu, Xinghai Zhang, Genggeng Liu, Wenzhong Guo, Ting-Chi Wang MiniDeviation: An Efficient Multi-Stage Bus-Aware Global Router. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ming Khuan Son, Neng-Jian Sim, Tzi-Dar Chiueh A Novel Minimum Variance Beamformer and Its Circuit Design for Ultrasound Beamforming. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Onur Mutlu Intelligent Architectures for Intelligent Machines. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Sherief Reda Overview of the OpenROAD Digital Design Flow from RTL to GDS. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Dana Neustadter Security Done Right: Start at the Heart of the SoC. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tong-Yu Hsieh, Hsin-Yung Shen, Chia-Teng Hsu Cost-Effective Reliable Edge Computing Hardware Design Based on Module Simplification and Duplication: A Case Study on Vehicle Detection Based on Support Vector Machine. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kea-Tiong Tang Breath Analysis for Early Detection and Rapid Diagnosis of Diseases for Preventive Medicine. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Shimeng Yu Compute-in-Memory for AI: From Inference to Training. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yier Jin CAD for Security: A Full Reverse Engineering Toolchain from Layout to RTL. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ching-Hwa Cheng, Jiun-In Guo Low-Power 3D-PCB Stacking System Design and Validation by Automatic Voltage-Current Scalable Technique. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yi-Chieh Hsu, Jing-Yuan Lin, Chii-Hwa Wang, Sz-Wei Chou An SIMO Step-Down Converter with Coupled Inductor. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mike Davies Progress in Neuromorphic Computing : Drawing Inspiration from Nature for Gains in AI and Computing. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jun Matsui, Hisakatsu Yamaguchi Event-Driven Model for High Speed End-to-End Simulations of Transmission System with Non-Linear Optical Elements and Cascaded Clock-and-Data Recovery Circuits. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Lih-Yih Chiou, Chi-Ray Huang, Chang-Chieh Cheng, Jing-Yu Huang, Wei-Suo Ling A Variation-Tolerant Bitline Leakage Sensing Scheme for Near-Threshold SRAMs. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chuan-Cheng Cheng, Jeremy Dunworth, Sriram Kalpat, Haitao Cheng, Gang Liu, Ming-Ta Yang, Wing Sy, Joseph Wang 0002, Kamal Sahota, P. R. Chidi Chidambaram Silicon Process Impact on 5G NR mmWave Front End Design and Performance. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sebastian Kiesel, Thomas Kern, Bernhard Wicht, Helmut Graeb A 30 ns 16 Mb 2 b/cell Embedded Flash with Ramped Gate Time-Domain Sensing Scheme for Automotive Application. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1San-Liang Lee Research Status of Silicon Photonic Integration in Taiwan's Academia. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chia-Kai Chan, Hong-Ke Lin, Chih-Wei Liu High-Throughput 64K-point FFT Processor for THz Imaging Radar System. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kai-Chieh Yang, Ming-Ting Lee, Chen-Hung Wu, James Chien-Mo Li ATPG and Test Compression for Probabilistic Circuits. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2019, Hsinchu, Taiwan, April 22-25, 2019 Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  BibTeX  RDF
1Shien-Chun Luo Customization of a Deep Learning Accelerator. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yining Zhang, Jiahao Zhao, Woogeun Rhee, Zhihua Wang 0001 Design and Analysis of Data-Pattern-Insensitive Phase-Tracking Receivers with Fully-Balanced FSK Modulation. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mingoo Seok, Minhao Yang, Zhewei Jiang, Aurel A. Lazar, Jae-sun Seo Cases for Analog Mixed Signal Computing Integrated Circuits for Deep Neural Networks. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kang-Yi Fan, Shigeru Yamashita, Juinn-Dar Huang Reactant Minimization for Multi-Target Sample Preparation on Digital Microfluidic Biochips Using Network Flow Models. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hsinchung Chen, Subramanian Meenakshi, Ali Heydarigorji, Seyede Mahya Safavi, Pai H. Chou, Cheng-Ting Lee, Ruey-Kang Chang BlueBox: A Complete Recorder for Code-Blue Events in Hospitals. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yuguang Liu, Haixin Song, Kunnong Zeng, Woogeun Rhee, Zhihua Wang 0001 A 9mW 6-9GHz 2.5Gb/s Proximity Transmitter with Combined OOK/BPSK Modulation for Low Power Mobile Connectivity. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Thomas Ernst Future of Computing and Sensing Systems for Embedded Applications. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yan Li Evolution and Advances of the Nonvolatile Memories and Applications. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yasushi Maruta Development and Evaluation of Low-SHF-Band C-RAN Massive MIMO System for 5G. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Pei-Kuei Tsung, Tung-Chien Chen, Chien-Hung Lin, Chih-Yu Chang, Jih-Ming Hsu Heterogeneous Computing for Edge AI. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chia-Chih Jack Yen Failure Root Cause Analysis Automation on Functional Simulation Regressions. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chee-Kit Ng, Yu-Chun Lin, Shyh-Jye Jou A 50 Gb/s Adaptive ADFE with SNR Based Power Management for 2-PAM Systems. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yuan-Hsi Chou, Shih-Lien Lu A High Performance, Low Energy, Compact Masked 128-Bit AES in 22nm CMOS Technology. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Dominik Sisejkovic, Farhad Merchant, Rainer Leupers, Gerd Ascheid, Volker Kiefer A Critical Evaluation of the Paradigm Shift in the Design of Logic Encryption Algorithms. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Fatih Hamzaoglu Embedded Memories for Silicon-In-Package: Optimization of Memory Subsystem from IoT to Machine Learning. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Takashi Dateki R&D Activities for Capacity Enhancement Using 5G Ultra High-Density Distributed Antenna Systems. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Katsuhiko Hoya, Kosuke Hatsuda, Kenji Tsuchida, Yohji Watanabe, Yusuke Shirota, Tatsunori Kanai A perspective on NVRAM technology for future computing system. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Wei-Fen Lin, Cheng-Tao Hsieh, Cheng-Yi Chou ONNC-Based Software Development Platform for Configurable NVDLA Designs. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tsung-Ching Huang, Ting Lei, Leilai Shao, Sridhar Sivapurapu, Madhavan Swaminathan, Sicheng Li, Zhenan Bao, Kwang-Ting Cheng, Raymond G. Beausoleil Process Design Kit and Design Automation for Flexible Hybrid Electronics. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tom Walker Using a Complete Flow for Photonic Integrated Circuits to Improve Product Development Time. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tom Munk, Hillel Kugler, Ofir Maori, Adam Teman TEMPO: Thermal-Efficient Management of Power in High-Throughput Network Switches. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Pai-Hsiang Hsu, Yueh-Ru Lee, Chung-Chih Hung 10-Bit SAR ADC With Novel Pseudo-Random Capacitor Switching Scheme. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chao-Jun Shang, Cheng-Hung Wu, Kuen-Jong Lee, Yu-Hsiang Chen A Novel Test Generation Method for Small-Delay Defects with User-Defined Fault Model. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yi-Chia Chen, Shao-Yung Lu, Jui-Hsiang Tsai, Yu-Te Liao A Power-Efficient, Bi-Directional Readout Interface Circuit for Cyclic-Voltammetry Electrochemical Sensors. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shankaran Janardhanan Silicon Technologies for Next Generation 5G Architectures and Applications. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yuan Xie 0001 CRISP: Center for Research on Intelligent Storage and Processing-in-Memory. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jia-Ching Wang, Tsung-Chih Hung, Tai-Haur Kuo A 15-bit 20 MS/s SHA-Less Pipelined ADC Achieving 73.7 dB SNDR with Averaging Correlated Level Shifting Technique. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hyung-Il Kim Beyond Digital Neuromorphic Hardware: Time-Based and Flash-Based Designs. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yujun Li Semiconductor for 5G. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ghavam G. Shahidi Slow-Down in Power Scaling and the End of Moore's Law? Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yongpan Liu Flexible Circuits and Systems for Smart Biomedical Applications. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jing Wang, Hirofumi Shinohara A CMOS 0.85-V 15.8-nW Current and Voltage Reference without Resistors. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ming-Wei Lin TSRI Silicon Photonics Design Platform: Standardization and Collaboration. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Prabhu B. M. Prasad, Khyamling Parane, Basavaraj Talawar High-Performance NoC Simulation Acceleration Framework Employing the Xilinx DSP48E1 Blocks. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Winfried Kaiser EUVL Optics: Status and Future Perspectives. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jianxiong Yin Scalable AI Computing Lifecycle. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ya-Chin Chung, Po-Hsiang Cheng, Chih-Wei Liu Energy Efficient CNN Inference Accelerator Using Fast Fourier Transform. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yi-Che Lee, Ting-Shuo Hsu, Chun-Tse Chen, Jing-Jia Liou, Juin-Ming Lu NNSim: A Fast and Accurate SystemC/TLM Simulator for Deep Convolutional Neural Network Accelerators. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chung-Yi Kao, Cheng-Ting Lee, Yu-Hung Yeh, Jui-Feng Sung, Pai H. Chou EcoSim: A Smartphone-Based Sensor-Node Emulator with Native Sensors and Protocol Stack. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Peng-Chang Huang, Tai-Haur Kuo A Light Energy Harvesting Single-Inductor Dual-Input Dual-Output Converter for WSN. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Peter Hsieh Autonomous Driving Technologies and Computing Platform. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Khyamling Parane, Prabhu B. M. Prasad, Basavaraj Talawar Design of an Adaptive and Reliable Network on Chip Router Architecture Using FPGA. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shih-Lien Lu, Cheng-En Lee, Peter Noel, Saman Adham, Ted Wong, Jonathan Chang A Reliable, Low-Cost, Low-Energy Physically Unclonable Function Circuit Through Effective Filtering. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yun-Wen Lu, Antoon Purnal, Simon Vandenhende, Chen-Yi Lee, Ingrid Verbauwhede, Hsie-Chia Chang A Lightweight 1.16 pJ/bit Processor for the Authenticated Encryption Scheme KetjeSR. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yueh-Chi Wu, Chih-Tsun Huang Efficient Dynamic Fixed-Point Quantization of CNN Inference Accelerators for Edge Devices. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yih-Chih Chou, Chien-Cheng Wu, Cheng-Hong Tsai Clocking for HPC Design: Challenges and Experience Sharing. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yu-Zhe Wang, Jingjie Wu, Shi-Hao Chen, Mango Chia-Tso Chao, Chia-Hsiang Yang Micro-Architecture Optimization for Low-Power Bitcoin Mining ASICs. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Haoyan Liu, Takashi Ohsawa User- Friendly Compact Model of Magnetic Tunnel Junctions for Circuit Simulation Based on Switching Probability. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 708 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license