The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for adders with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1974 (15) 1975-1980 (15) 1982-1987 (18) 1988-1990 (21) 1991-1992 (25) 1993 (20) 1994 (19) 1995 (26) 1996 (17) 1997 (20) 1998 (25) 1999 (32) 2000 (33) 2001 (48) 2002 (34) 2003 (55) 2004 (43) 2005 (62) 2006 (65) 2007 (69) 2008 (68) 2009 (32) 2010 (32) 2011 (27) 2012 (24) 2013 (24) 2014 (18) 2015 (18) 2016 (26) 2017 (31) 2018 (39) 2019 (31) 2020 (38) 2021 (42) 2022 (29) 2023 (43) 2024 (7)
Publication types (Num. hits)
article(465) incollection(4) inproceedings(717) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 823 occurrences of 430 keywords

Results
Found 1191 publication records. Showing 1191 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
32Daniel Etiemble Ternary and Quaternary CNTFET Full Adders are less efficient than the Binary Ones for Carry-Propagate Adders. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
32P. Balasubramanian 0001, Shigeru Yamashita Area/latency optimized early output asynchronous full adders and relative-timed ripple carry adders. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
32Robin Perrot, Nadine Azémard, Philippe Maurine Request-skip adders : CMOS standard cell data dependent adders. Search on Bibsonomy ICECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Stanislaw J. Piestrak Design of residue generators and multioperand modular adders using carry-save adders. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
32Pak K. Chan, Martine D. F. Schlag, Clark D. Thomborson, Vojin G. Oklobdzija Delay optimization of carry-skip adders and block carry-lookahead adders. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
32Hung Chi Lai A Study of Current Logic Design Problems: Part I, Design of Diagnosable Mos Networks; Part Ii, Minimum Nor (Nand) Networks for Parity Functions of an Arbitrary Number of Variables; Part Iii, Minimum Parallel Binary Adders With Nor (Nand) Gates and Their Extensions to Networks Consisting of Carry-Save Adders Search on Bibsonomy 1976   RDF
31Robert T. Grisamore, Earl E. Swartzlander Jr. Negative Save Sign Extension for Multi-term Adders and Multipliers. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF adder trees, multi-term adders, two’s complement arithmetic, sign extension, multipliers
31Robert D. Kenney, Michael J. Schulte High-Speed Multioperand Decimal Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multioperand adders, Computer arithmetic, hardware designs, decimal arithmetic
31Wu-Tung Cheng, Janak H. Patel A Minimum Test Set for Multiple Fault Detection in Ripple Carry Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1987 DBLP  DOI  BibTeX  RDF minimum test set, testing, Adders, iterative logic arrays, multiple fault detection
31Alain Guyot, Bertrand Hochet, Jean-Michel Muller A Way to Build Efficient Carry-Skip Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1987 DBLP  DOI  BibTeX  RDF VLSI design, Carry-skip adders
31K. Wayne Current Pipelined Binary Parallel Counters Employing Latched Quaternary Logic Full Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF quaternary threshold logic full adders, Multiple-valued logic, threshold logic, parallel counters
31Murali Mohan, Rohini Krishnan, Anshul Kumar, M. Balakrishnan A New Divide and Conquer Method for Achieving High Speed Division in Hardware. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Carry Propagate Adders, Pipelineability, Throughput, Latency, Rounding, Carry Save Adders, Radix, SRT
31Reto Zimmermann Efficient VLSI Implementation of Modulo (2^n=B11) Addition and Multiplication. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Modulo (2^n=B11) adders and multipliers, end-around-carry parallel-prefix adders, IDEA cipher, cryptography, computer arithmetic, RNS, VLSI circuits
31Jaume A. Segura 0001, Miquel Roca 0001, Diego Mateo, Antonio Rubio 0001 An approach to dynamic power consumption current testing of CMOS ICs. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF dynamic power consumption current testing, logic behavior, parametric defect, quiescent power supply current testing, consumption current testing time, on-chip sensor, static power consumption, fault diagnosis, logic testing, integrated circuit testing, automatic testing, adders, CMOS logic circuits, I/sub DDQ/ testing, CMOS ICs, full adders, open defects, electric current measurement, bridging defects, transient current
31Zhi-Jian (Alex) Mou, Francis Jutand "Overturned-Stairs" Adder Trees and Multiplier Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF VLSI circuit layout, multioperand adders, Overturned-Stairs trees, 2's complement parallel multiplier, VLSI, logic design, digital arithmetic, trees (mathematics), adders, multiplying circuits, Wallace trees
31Jordi Cortadella, José M. Llabería Evaluation of A + B = K Conditions Without Carry Propagation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF parallel adders, carry propagation delay, performance, digital arithmetic, response time, adders
31Abhijit Chatterjee, Jacob A. Abraham The Testability of Generalized Counters Under Multiple Faulty Cells. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF generalized counters, multiple faulty cells, counting circuits, logic testing, fault model, testability, adders, full adders
31Stephen H. Unger Tree Realizations of Iterative Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF conditional sum, flow tables, high speed arithmetic units, iterative circuits, modular circuits, semi-groups, tree circuits, synthesis, combinational circuits, Adders, carry lookahead, binary adders
27Ajay Kumar Verma, Philip Brisk, Paolo Ienne Variable Latency Speculative Addition: A New Paradigm for Arithmetic Circuit Design. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Fei Xu, Chip-Hong Chang, Ching-Chuen Jong Design of Low-Complexity FIR Filters Based on Signed-Powers-of-Two Coefficients With Reusable Common Subexpressions. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Kavallur Gopi Smitha, A. Prasad Vinod 0001 A New Binary Common Subexpression Elimination Method for Implementing Low Complexity FIR Filters. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Jong-Suk Lee, Dong Sam Ha High Speed 1-bit Bypass Adder Design for Low Precision Additions. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Guadalupe Miñana, José Ignacio Hidalgo, Oscar Garnica, Juan Lanchares, José Manuel Colmenar, Sonia López A Technique to Reduce Static and Dynamic Power of Functional Units in High-Performance Processors. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Guadalupe Miñana, Oscar Garnica, José Ignacio Hidalgo, Juan Lanchares, José Manuel Colmenar A Power-Aware Technique for Functional Units in High-Performance Processors. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27A. Prasad Vinod 0001, Edmund Ming-Kit Lai An efficient coefficient-partitioning algorithm for realizing low-complexity digital filters. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Chao-Tsung Huang, Po-Chih Tseng, Liang-Gee Chen VLSI Architecture for Forward Discrete Wavelet Transform Based on B-spline Factorization. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF B-spline factorization, discrete wavelet transform, VLSI architecture
27Haikun Zhu, Chung-Kuan Cheng, Ronald L. Graham Constructing zero-deficiency parallel prefix adder of minimum depth. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Youngmoon Choi, Earl E. Swartzlander Jr. Parallel Prefix Adder Design with Matrix Representation. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Ilya Obridko, Ran Ginosar Low energy asynchronous architectures. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27A. Prasad Vinod 0001, Edmund Ming-Kit Lai Comparison of the horizontal and the vertical common subexpression elimination methods for realizing digital filters. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Andreas Burg, Frank K. Gürkaynak, Hubert Kaeslin, Wolfgang Fichtner Variable delay ripple carry adder with carry chain interrupt detection. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Massimo Alioto, Gaetano Palumbo Analysis and comparison on full adder block in submicron technology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Ernest Jamro, Kazimierz Wiatr FPGA Implementation of Addition as a Part of the Convolution. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Feng Zhou, Peter Kornerup Computing Moments by Prefix Sums. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Vojin G. Oklobdzija, David Villeger, Thierry Soulas An integrated multiplier for complex numbers. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
27Chang Nian Zhang, Behrooz A. Shirazi, David Y. Y. Yun Computing multiple modulo summation (abstract only): a new algorithm, its VLSI designs and applications. Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
25Yi Zhu 0002, Jianhua Liu, Haikun Zhu, Chung-Kuan Cheng Timing-power optimization for mixed-radix Ling adders by integer linear programming. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Julien Francq, Jean-Baptiste Rigaud, Pascal Manet, Assia Tria, Arnaud Tisserand Error Detection for Borrow-Save Adders Dedicated to ECC Unit. Search on Bibsonomy FDTC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Taeko Matsunaga, Shinji Kimura, Yusuke Matsunaga Synthesis of parallel prefix adders considering switching activities. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Bipul Chandra Paul, Shinobu Fujita, Masaki Okajima ROM based logic (RBL) design: High-performance and low-power adders. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Himanshu Thapliyal, A. Prasad Vinod 0001 Designing Efficient Online Testable Reversible Adders With New Reversible Gate. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Massimo Alioto, Gaetano Palumbo Delay Variability Due to Supply Variations in Transmission-Gate Full Adders. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Ismo Hänninen, Jarmo Takala Robust Adders Based on Quantum-Dot Cellular Automata. Search on Bibsonomy ASAP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Taeko Matsunaga, Yusuke Matsunaga Area minimization algorithm for parallel prefix adders under bitwise delay constraints. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF arithmetic synthesis, dynamic programming, parallel prefix adder
25Gian Carlo Cardarilli, Marco Ottavi, Salvatore Pontarelli, Marco Re, Adelio Salsano Fault Localization, Error Correction, and Graceful Degradation in Radix 2 Signed Digit-Based Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Fault tolerance, error checking, high-speed arithmetic
25Kavallur Gopi Smitha, Hossam A. H. Fahmy, A. Prasad Vinod 0001 Redundant Adders Consume Less Energy. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Behnam Amelifard, Farzan Fallah, Massoud Pedram Closing the Gap between Carry Select Adder and Ripple Carry Adder: A New Class Closing the Gap between Carry Select Adder and Ripple Carry Adder: A New Class of Low-Power High-Performance Adders. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Kenny Johansson, Oscar Gustafsson, Lars Wanhammar Power Estimation for Ripple-Carry Adders with Correlated Input Data. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Matthew M. Ziegler, Mircea R. Stan A Unified Design Space for Regular Parallel Prefix Adders. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Kogge-Stone adder, Han-Carlson adder, Brent-Kung adder, parallel prefix adder
25Jin-Fu Li 0001, Chih-Chiang Hsu Efficient Test Methodologies for Conditional Sum Adders. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Jia Di, Jiann-Shiun Yuan, Ronald F. DeMara High Throughput Power-Aware FIR Filter Design Based on Fine-Grain Pipelining Multipliers and Adders. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Jianhua Liu, Shuo Zhou, Haikun Zhu, Chung-Kuan Cheng An Algorithmic Approach for Generic Parallel Adders. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Dimitris G. Nikolos, Dimitris Nikolos, Haridimos T. Vergos, Costas Efstathiou An Efficient BIST scheme for High-Speed Adders. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Giorgos Dimitrakopoulos, Haridimos T. Vergos, Dimitris Nikolos, Costas Efstathiou A Family of Parallel-Pre.x Modulo 2n - 1 Adders. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Whitney J. Townsend, Jacob A. Abraham, Earl E. Swartzlander Jr. Quadruple Time Redundancy Adders. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Antonio Blotti, Maurizio Castellucci, Roberto Saletti Designing Carry Look-Ahead Adders with an Adiabatic Logic Standard-Cell Library. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Peter Kornerup Reviewing 4-to-2 Adders for Multi-Operand Addition. Search on Bibsonomy ASAP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Peter-Michael Seidel, Guy Even On the Design of Fast IEEE Floating-Point Adders. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Meghanad D. Wagh, Chien-In Henry Chen High-level design synthesis with redundancy removal for high speed testable adders. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Vassilis Paliouras, Thanos Stouraitis Novel high-radix residue number system multipliers and adders. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Bernd Becker 0001, Rolf Drechsler, Sudhakar M. Reddy (Quasi-) Linear Path Delay Fault Tests for Adders. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Fu-Chiung Cheng, Stephen H. Unger, Michael Theobald, Wen-Chung Cho Delay-Insensitive Carry-Lookahead Adders. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Bernd Becker 0001, Rolf Drechsler, Paul Molitor On the generation of area-time optimal testable adders. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
25Zhan Chen, Israel Koren Techniques for Yield Enhancement of VLSI Adders. Search on Bibsonomy ASAP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF VLSI yield, VLSI adder, defect tolerance, VLSI layout
25Thomas K. Callaway, Earl E. Swartzlander Jr. Estimating the power consumption of CMOS adders. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
25Bernd Becker 0001 Efficient Testing of Optimal Time Adders (Extended Abstract). Search on Bibsonomy MFCS The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
24Himanshu Thapliyal, Hamid R. Arabnia, M. B. Srinivas Efficient Reversible Logic Design of BCD Subtractors. Search on Bibsonomy Trans. Comput. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF BCD subtractors, BCD adders, Reversible logic
24Michael Kirkedal Thomsen, Holger Bock Axelsen Parallel Optimization of a Reversible (Quantum) Ripple-Carry Adder. Search on Bibsonomy UC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF quantum computing, adders, circuits, Reversible computing
24M. Sudhakar, Ramachandruni Venkata Kamala, M. B. Srinivas New and Improved Architectures for Montgomery Modular Multiplication. Search on Bibsonomy Mob. Networks Appl. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF reconfigurable multiplier, scalable multiplier, RSA, ECC, carry save adders, Montgomery modular multiplication
24James Alfred Walker, Julian Francis Miller Investigating the performance of module acquisition in cartesian genetic programming. Search on Bibsonomy GECCO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF digital adders, digital comparators, digital multipliers, modularity, cartesian genetic programming, computational effort, module acquisition
24Yuke Wang, Xiaoyu Song, El Mostapha Aboulhamid Residue to Binary Number Converters for (2n-1, 2n, 2n+1). Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF algorithm, adders, residue number system, circuit, arithmetic
24F. G. Lorca, Lounis Kessal, Didier Demigny Efficient ASIC and FPGA Implementations of IIR Filters for Real Time Edge Detection. Search on Bibsonomy ICIP (2) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Deriche filter architecture, real time edge detection, optimal edge detectors, FGGA circuits, memory size reduction, scale parameter, first order recursive filter, algorithm, ASIC, CMOS, adders, hardware implementation, IIR filters, IIR filters, software implementation, real time implementation, computation cost reduction, 1.2 micron
24Dimitris Gizopoulos, Dimitris Nikolos, Antonis M. Paschalis, Constantin Halatsis C-Testable modified-Booth multipliers. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Booth multipliers, design for testability, C-testability, iterative logic arrays, carry lookahead adders, cell fault model
24Albrecht P. Stroele Test response compaction using arithmetic functions. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF arithmetic functions, combinational faults, underflow, feed back, logic testing, built-in self test, digital arithmetic, test pattern generation, adders, circuits, registers, aliasing probability, overflow, subtracters, test response compaction, arithmetic logic units
24C. P. Ravikumar, Gurjeet S. Saund, Nidhi Agrawal A STAFAN-like functional testability measure for register-level circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF functional testability measure, register-level circuits, testability analysis programs, SCOAP, gate-level digital circuits, testability-driven synthesis, busses, F-STAFAN, Sun/SPARC workstation, performance evaluation, fault diagnosis, logic testing, high-level synthesis, statistical analysis, design for testability, fault simulation, fault coverage, circuit analysis computing, adders, multipliers, multiplexers, digital circuit, shift registers, logic gates, reliability theory, stuck-at fault model
24Enric Musoll, Jordi Cortadella Scheduling and resource binding for low power. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF data-path power budget, low-power data-paths, scheduling, low power, high level synthesis, high-level synthesis, power consumption, adders, multipliers, logic circuits, data flow graphs, trading off, network synthesis, functional units, resource binding, resource-binding
24Remata S. Reddy, Irith Pomeranz, Sudhakar M. Reddy, Seiji Kajihara Compact test generation for bridging faults under IDDQ testing. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF compact test generation, bit-adders, logic testing, partitioning, integrated circuit testing, fault location, stuck-at faults, CMOS logic circuits, bridging faults, logic partitioning, I/sub DDQ/ testing
24B. Hamdi, Hakim Bederr, Michael Nicolaidis A tool for automatic generation of self-checking data paths. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF self-checking data paths, ALUs, shifters, double rail checkers, logic testing, built-in self test, microprocessors, adders, circuit CAD, multipliers, microcontrollers, register files, circuit design, CAD tools, automatic generation, automatic test software, dividers, circuit testing, parity checkers
24Farzad Zarrinfar Economics of "design for test" to remain competitive in the 90s. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Bed-of-nails method, DFT strategy, value adders
24Çetin Kaya Koç, Ching Yu Hung Bit-level systolic arrays for modular multiplication. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF sign estimation, scheduling, systolic array, modular multiplication, carry save adders
18Husain Parvez, Zied Marrakchi, Habib Mehrez Heterogeneous-ASIF: an application specific inflexible FPGA using heterogeneous logic blocks (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF asif, fpga, architecture, application specific, cad
18Mark S. K. Lau, Keck Voon Ling, Yun-Chung Chu Energy-aware probabilistic multiplier: design and analysis. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimization, multiplier, voltage scaling, probabilistic computation
18Jun Chen, James E. Stine Parallel Prefix Ling Structures for Modulo 2^n-1 Addition. Search on Bibsonomy ASAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Sang-Hun Yoon, Jin-Doo Jeong, Jong-Wha Chong An area reduction method for digital filter using redundancy of SD number system. Search on Bibsonomy ICUIMC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adder sharing, flattened coefficient, architecture, digital filter
18Mark Whitney, Nemanja Isailovic, Yatish Patel, John Kubiatowicz A fault tolerant, area efficient architecture for Shor's factoring algorithm. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ion trap, control, quantum computing, layout, cad
18Omid Sarbishei, Bijan Alizadeh, Masahiro Fujita Arithmetic Circuits Verification without Looking for Internal Equivalences. Search on Bibsonomy MEMOCODE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Yan Sun, Xin Zhang, Xi Jin High-Performance Carry Select Adder Using Fast All-One Finding Logic. Search on Bibsonomy Asia International Conference on Modelling and Simulation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fast all-one finding circuit, add-one circuit, carry-select adder
18Fred Chen, Hei Kam, Dejan Markovic, Tsu-Jae King Liu, Vladimir Stojanovic, Elad Alon Integrated circuit design with NEM relays. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Alberto A. Del Barrio, María C. Molina, Jose Manuel Mendias, Esther Andres Perez, Román Hermida, Francisco Tirado Applying speculation techniques to implement functional units. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Yuki Watanabe, Naofumi Homma, Takafumi Aoki, Tatsuo Higuchi 0001 Arithmetic module generator with algorithm optimization capability. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Jonathan A. Clarke, George A. Constantinides, Peter Y. K. Cheung, Alastair M. Smith Glitch-aware output switching activity from word-level statistics. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Toshinori Sato, Shingo Watanabe Instruction Scheduling for Variation-Originated Variable Latencies. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF variable latency adder, long latency adder, instruction criticality, microprocessors, parameter variations
18Sabyasachi Das, Sunil P. Khatri A Merged Synthesis Technique for Fast Arithmetic Blocks Involving Sum-of-Products and Shifters. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18S. Vijay, A. Prasad Vinod 0001, Edmund Ming-Kit Lai A Greedy Common Subexpression Elimination Algorithm for Implementing FIR Filters. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Swapnil Bahl A Sharable Built-in Self-Repair for Semiconductor Memories with 2-D Redundancy Schema. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Ghassem Jaberipur, Behrooz Parhami, Mohammad Ghodsi An Efficient Universal Addition Scheme for All Hybrid-Redundant Representations with Weighted Bit-Set Encoding. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF (4,2)-compressor, digit set, signed digit, computer arithmetic, redundant number system, carry-free addition
18Shahnam Mirzaei, Anup Hosangadi, Ryan Kastner High speed FIR filter implementation using add and shift method. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Janusz Biernat Self-Dual Modules in Design of Dependable Digital Devices. Search on Bibsonomy DepCoS-RELCOMEX The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18R. U. R. Mocho, G. H. Sartori, Renato P. Ribas, André Inácio Reis Asynchronous circuit design on reconfigurable devices. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGAs, asynchronous circuits
18V. S. Kanchana Bhaaskaran, S. Salivahanan, D. S. Emmanuel Semi-Custom Design of Adiabatic Adder Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 1191 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license