The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for caches with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1978-1987 (17) 1988 (19) 1989 (24) 1990 (15) 1991 (18) 1992 (31) 1993 (30) 1994 (39) 1995 (49) 1996 (53) 1997 (68) 1998 (59) 1999 (102) 2000 (95) 2001 (95) 2002 (144) 2003 (210) 2004 (208) 2005 (219) 2006 (214) 2007 (225) 2008 (198) 2009 (159) 2010 (108) 2011 (73) 2012 (78) 2013 (94) 2014 (97) 2015 (69) 2016 (89) 2017 (87) 2018 (77) 2019 (85) 2020 (57) 2021 (67) 2022 (65) 2023 (35) 2024 (8)
Publication types (Num. hits)
article(849) incollection(4) inproceedings(2475) phdthesis(52)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2974 occurrences of 1216 keywords

Results
Found 3381 publication records. Showing 3380 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
33Kun-Lung Wu, W. Kent Fuchs, Janak H. Patel Error Recovery in Shared Memory Multiprocessors Using Private Caches. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF processor transient faults, user-transparent checkpointing, checkpointed computation state, recovery stacks, rollback propagation, rapidrecovery, fault tolerance, fault tolerant computing, multiprocessor interconnection networks, multiprocessing systems, shared memory multiprocessors, system recovery, buffer storage, cache coherence protocols, performance degradation, processor utilization, private caches, error latency
33Andrew Putnam, Susan J. Eggers, Dave Bennett, Eric Dellinger, Jeff Mason, Henry Styles, Prasanna Sundararajan, Ralph Wittig Performance and power of cache-based reconfigurable computing. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF c-to-gates, c-to-hardware, co-processor accelerator, many-cache, synthesis compiler, fpga, caches
33Leticia Pascual, Alejandro Torrentí, Julio Sahuquillo, José Flich Understanding cache hierarchy interactions with a program-driven simulator. Search on Bibsonomy WCAE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi-level caches, cache organization, write policies
33Kyoungwoo Lee, Aviral Shrivastava, Ilya Issenin, Nikil D. Dutt, Nalini Venkatasubramanian Mitigating soft error failures for multimedia applications by selective data protection. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF horizontally partitioned caches, multimedia embedded systems, selective data protection, soft errors
33Ravi R. Iyer 0001 Characterization and Evaluation of Cache Hierarchies for Web Servers. Search on Bibsonomy World Wide Web The full citation details ... 2004 DBLP  DOI  BibTeX  RDF chipsets, snoop filters, simulation, performance evaluation, memory hierarchy, web servers, cache coherence, shared caches, commercial workloads
33Ben H. H. Juurlink, Pepijn J. de Langen Dynamic techniques to reduce memory traffic in embedded systems. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF memory traffic, caches, power consumption, embedded processors
33Hemendra Singh Negi, Tulika Mitra, Abhik Roychoudhury Accurate estimation of cache-related preemption delay. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF caches, multitasking, formal analysis, preemption
33Marc Ségura-Devillechaise, Jean-Marc Menaud, Gilles Muller, Julia L. Lawall Web cache prefetching as an aspect: towards a dynamic-weaving based solution. Search on Bibsonomy AOSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF pointcut language, Java, aspect-oriented programming, Web caches, adaptable software, code instrumentation
33Damien Charlet, Pascal Chatonnay, François Spies Hand-Over Video Cache Policy for Mobile Users. Search on Bibsonomy DAIS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF distributed video caches, mobile devices, Multimedia streaming
33Johnson Kin, Munish Gupta, William H. Mangione-Smith The Filter Cache: An Energy Efficient Memory Structure. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF direct mapped 256-byte filter cache, energy efficient memory structure, on-chip caches, static RAM, microprocessors, microprocessor chips, power reduction, embedded applications, L2 cache, filter cache, L1 cache
31Berkin Ilbeyi, John A. Nestor VCache: visualization applet for processor caches. Search on Bibsonomy ITiCSE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF visualization, education, computer organization
31Soontae Kim Reducing Area Overhead for Error-Protecting Large L2/L3 Caches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Seung Woo Son 0001, Sai Prashanth Muralidhara, Ozcan Ozturk 0001, Mahmut T. Kandemir, Ibrahim Kolcu, Mustafa Karaköy Profiler and compiler assisted adaptive I/O prefetching for shared storage caches. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF shared storage cache, adaptive, compiler, profiler, prefetching
31Marios Kleanthous, Yiannakis Sazeides CATCH: A Mechanism for Dynamically Detecting Cache-Content-Duplication and its Application to Instruction Caches. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Subramanian Ramaswamy, Sudhakar Yalamanchili Customized Placement for High Performance Embedded Processor Caches. Search on Bibsonomy ARCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Soontae Kim Area-efficient error protection for caches. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Afrin Naz, Mehran Rezaei, Krishna M. Kavi, Philip H. Sweany Improving data cache performance with integrated use of split caches, victim cache and stream buffers. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF array cache, memory access time, scalar cache, victim cache, stream buffer
31Georgi Gaydadjiev, Stamatis Vassiliadis Flux Caches: What Are They and Are They Useful? Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Antonio Martí Campoy, Isabelle Puaut, Angel Perles Ivars, José V. Busquets-Mataix Cache Contents Selection for Statically-Locked Instruction Caches: An Algorithm Comparison. Search on Bibsonomy ECRTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Yiu-Fai Sit, Francis C. M. Lau 0001, Cho-Li Wang On The Cooperation of Web Clients and Proxy Caches. Search on Bibsonomy ICPADS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Kiran Puttaswamy, Gabriel H. Loh Implementing Caches in a 3D Technology for High Performance Processors. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Ramesh V. Peri, John Fernando, Ravi K. Kolagotla Addressing mode driven low power data caches for embedded processors. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Bradford M. Beckmann, David A. Wood 0001 Managing Wire Delay in Large Chip-Multiprocessor Caches. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Julio C. López, David R. O'Hallaron, Tiankai Tu Big Wins with Small Application-Aware Caches. Search on Bibsonomy SC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Ravishankar K. Iyer Performance implications of chipset caches in web servers. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Jui-Ming Chen, Li-Der Chou Active Dispatching Schemes for Web Caches in Mobile Networks. Search on Bibsonomy AINA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Madhukar R. Korupolu, Michael Dahlin Coordinated Placement and Replacement for Large-Scale Distributed Caches. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Cache, web, distributed, cooperative, placement, hierarchical, replacement
31Yingwu Zhu, Yiming Hu Can large disk built-in caches really improve system performance?. Search on Bibsonomy SIGMETRICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Changkyu Kim, Doug Burger, Stephen W. Keckler An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Brannon Batson, T. N. Vijaykumar Reactive-Associative Caches. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Martin F. Arlitt, Ludmila Cherkasova, John Dilley, Rich Friedrich, Tai Jin Evaluating content management techniques for Web proxy caches. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF WEB
31Xiao-Yu Hu, Pablo Rodriguez 0001, Ernst W. Biersack Performance Study of Satellite-Linked Web Caches and Filtering Policies. Search on Bibsonomy NETWORKING The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Satellite Distribution, Filtering Policies, World-Wide Web, Web Caching
31Olga Kapitskaia, Raymond T. Ng, Divesh Srivastava Evolution and Revolutions in LDAP Directory Caches. Search on Bibsonomy EDBT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31Kun-Lung Wu, Philip S. Yu Local Replication for Proxy Web Caches with Hash Routing. Search on Bibsonomy CIKM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31Peter Triantafillou, Stavros Harizopoulos Prefetching into Smart-Disk Caches for High Performance Media Servers. Search on Bibsonomy ICMCS, Vol. 1 The full citation details ... 1999 DBLP  DOI  BibTeX  RDF smart disks, prefetching, servers, disk scheduling, continuous media
31James E. Bennett, Michael J. Flynn Prediction Caches for Superscalar Processors. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Prediction cache, Dynamic scheduling, Memory latency, Victim cache, Stream buffer
31Hong Wang 0003, Tong Sun, Qing Yang 0001 CAT - Caching Address Tags: A Technique for Reducing Area Cost of On-Chip Caches. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
31Rabin A. Sugumar, Santosh G. Abraham Efficient Simulation of Caches under Optimal Replacement with Applications to Miss Characterization. Search on Bibsonomy SIGMETRICS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
31Brent E. Nelson, James K. Archibald, J. Kelly Flanagan Performance analysis of inclusion effects in multi-level multiprocessor caches. Search on Bibsonomy SPDP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
31Mazin S. Algudady, Chita R. Das, Matthew Thazhuthaveetil A write update cache coherence protocol for MIN-based multiprocessors with accessibility-based split caches. Search on Bibsonomy SC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
31Thomas W. Barr, Alan L. Cox, Scott Rixner Translation caching: skip, don't walk (the page table). Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF page walk caching, memory management, tlb
31Moinuddin K. Qureshi Adaptive Spill-Receive for robust high-performance caching in CMPs. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Chuanjun Zhang Reducing cache misses through programmable decoders. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low power, Cache, dynamic optimization
31Heiko Falk, Sascha Plazar, Henrik Theiling Compile-time decided instruction cache locking using worst-case execution paths. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF WC-path, cache locking, optimization, WCET
31Xin Yu Distributed Cache Updating for the Dynamic Source Routing Protocol. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF distributed cache updating, mobility, Mobile ad hoc networks, on-demand routing protocols
31Lakshmish Ramaswamy, Ling Liu 0001, Jianjun Zhang 0001 Efficient Formation of Edge Cache Groups for Dynamic Content Delivery. Search on Bibsonomy ICDCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Martin Thuresson, Per Stenström Scalable Value-Cache Based Compression Schemes for Multiprocessors. Search on Bibsonomy SBAC-PAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Nam Sung Kim, David T. Blaauw, Trevor N. Mudge Quantitative analysis and optimization techniques for on-chip cache leakage power. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Xin Yu, Zvi M. Kedem A distributed adaptive cache update algorithm for the dynamic source routing protocol. Search on Bibsonomy INFOCOM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Evan Speight, Hazim Shafi, Lixin Zhang 0002, Ramakrishnan Rajamony Adaptive Mechanisms and Policies for Managing Cache Hierarchies in Chip Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Lakshmish Ramaswamy, Ling Liu 0001 An Expiration Age-Based Document Placement Scheme for Cooperative Web Caching. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Cooperative Web caching, document placement, distributed caching
31Marek Tudruj, Lukasz Masko Dynamic SMP Clusters with Communication on the Fly in NoC Technology for Very Fine Grain Computations. Search on Bibsonomy ISPDC/HeteroPar The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Parallel System Architecture, Shared Memory Systems, Cluster Systems
31Dimitrios S. Nikolopoulos Code and Data Transformations for Improving Shared Cache Performance on SMT Processors. Search on Bibsonomy ISHPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF compilers, operating systems, memory hierarchies, runtime systems, multithreaded processors
31Yiming Hu, Tycho Nightingale, Qing Yang 0001 RAPID-Cache-A Reliable and Inexpensive Write Cache for High Performance Storage Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF fault-tolerance, performance, reliability, storage systems, disks
31Zhen Liu 0001, Mark S. Squillante, Cathy H. Xia, S. Yu, Li Zhang 0002, Naceur Malouch, Paul Dantzig Analysis of Caching Mechanisms from Sporting Event Web Sites. Search on Bibsonomy ASIAN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Michael D. Powell, Amit Agarwal 0001, T. N. Vijaykumar, Babak Falsafi, Kaushik Roy 0001 Reducing set-associative cache energy via way-prediction and selective direct-mapping. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Jean-Marc Menaud, Valérie Issarny, Michel Banâtre Improving the Effectiveness of Web Caching. Search on Bibsonomy Advances in Distributed Systems The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31Mazin S. Yousif, Chita R. Das A Switch Cache Design for MIN-Based Shared-Memory Multiprocessors. Search on Bibsonomy CONPAR The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
31Marco Annaratone, Roland Rühl Performance Measurements on a Commercial Multiprocessor Running Parallel Code. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF C, FORTRAN
31Michael N. Nelson, Brent B. Welch, John K. Ousterhout Caching in the Sprite Network File System (Extended Abstract). (long version: ACM Trans. Comput. Syst. 6(1): 134-154(1988)) Search on Bibsonomy SOSP The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
28Ann Gordon-Ross, Jeremy Lau, Brad Calder Phase-based cache reconfiguration for a highly-configurable two-level cache hierarchy. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cache tuning, phase prediction, phase-based reconfiguration, phase-based tuning, caches, configurable caches, configurable architecture
27Yannis Klonatos, Thanos Makatos, Manolis Marazakis, Michail D. Flouris, Angelos Bilas Azor: Using Two-Level Block Selection to Improve SSD-Based I/O Caches. Search on Bibsonomy NAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Solid State Disk (SSD) caches, Evaluation, I/O performance
27Kanad Ghose, Milind B. Kamble Reducing power in superscalar processor caches using subbanking, multiple line buffers and bit-line segmentation. Search on Bibsonomy ISLPED The full citation details ... 1999 DBLP  DOI  BibTeX  RDF power estimation, low power caches
27Pablo Ibáñez, Víctor Viñals Performance Assessment of Contents Management in Multilevel On-Chip Caches. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multilevel on-chip caches, Inclusion contents management, Exclusion, second-level cache miss ratio, system CPI, floating point SPEC'92 benchmarks, performance metrics, contents management, cache storage, design space, performance assessment, Demand
27Kanad Ghose, Kiran Raghavendra Desai, Peter M. Kogge Using Method Lookup Caches and Register Windowing to Speed Up Dynamically-Bound Object-Oriented Applications. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF method lookup caches, dynamically-bound object-oriented applications, logical reference, method binding, context allocation, contemporary pipelined datapath, detailed register level simulation, object-oriented programming, object oriented programming languages, register windowing
27Josep Torrellas, Monica S. Lam, John L. Hennessy False Sharing ans Spatial Locality in Multiprocessor Caches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF multiprocessor caches, coherence transactions, interleaved fashion, programmer-transparent method, performance evaluation, shared-memory multiprocessors, program compilers, shared memory systems, buffer storage, data cache, spatial locality, false sharing, shared data, cache block, cache miss rates
27Jaswinder Pal Singh, Harold S. Stone, Dominique Thiébaut A Model of Workloads and Its Use in Miss-Rate Prediction for Fully Associative Caches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF model of workloads, miss-rate prediction, fully associative caches, behavior of programs, fully associative cache, least-recently-used replacement, mathematical model, memory architecture, buffer storage, content-addressable storage, temporal locality, spatial locality
27Mark D. Hill, Alan Jay Smith Evaluating Associativity in CPU Caches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF CPU caches, cache miss ratio, forest simulation, all-associativity simulation, stack simulation, associativity, buffer storage, content-addressable storage, direct-mapped, set-associative
27Andrew Putnam, Susan J. Eggers, Dave Bennett, Eric Dellinger, Jeff Mason, Henry Styles, Prasanna Sundararajan, Ralph Wittig Performance and power of cache-based reconfigurable computing. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF c-to-gates, c-to-hardware, co-processor accelerators, fpga, caches
27Guangyu Sun 0003, Xiaoxia Wu, Yuan Xie 0001 Exploration of 3D stacked L2 cache design for high performance and efficient thermal control. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF thermal control, performance, 3D, L2 caches
27Frank McCown, Michael L. Nelson Recovering a website's server components from the web infrastructure. Search on Bibsonomy JCDL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF search engine caches, web server, digital preservation, web archiving, backup
27Frank McCown, Norou Diawara, Michael L. Nelson Factors affecting website reconstruction from the web infrastructure. Search on Bibsonomy JCDL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF search engine caches, digital preservation, web archiving
27Ismail Kadayif, Mahmut T. Kandemir Modeling and improving data cache reliability. Search on Bibsonomy SIGMETRICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF vulnerability factors, reliability, data integrity, soft errors, data caches
27Vasileios Liaskovitis, Shimin Chen, Phillip B. Gibbons, Anastassia Ailamaki, Guy E. Blelloch, Babak Falsafi, Limor Fix, Nikos Hardavellas, Michael Kozuch, Todd C. Mowry, Chris Wilkerson Parallel depth first vs. work stealing schedulers on CMP architectures. Search on Bibsonomy SPAA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF scheduling, caches, chip multiprocessors
27Soontae Kim, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Anand Sivasubramaniam, Mary Jane Irwin Partitioned instruction cache architecture for energy efficiency. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Caches, energy, memory system
27Zheng Zhang 0001, Marcelo H. Cintra, Josep Torrellas Excel-NUMA: Toward Programmability, Simplicity, and High Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF NUMA organizations, performance evaluation, caches, Shared-memory multiprocessors, cache-coherence protocols
27Chun Xia, Josep Torrellas Improving the Data Cache Performance of Multiprocessor Operating Systems. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF data cache performance, operating system effect on caches, bus-based multiprocessor, address trace evaluation, block operations, latency hiding
27André Seznec Don't Use the Page Number, But a Pointer To It. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF address width, indirect-tagged caches, reduced branch target buffers, tag implementation cost
27Daniel Lenoski, James Laudon, Truman Joe, David Nakahira, Luis Stevens, Anoop Gupta, John L. Hennessy The DASH Prototype: Logic Overhead and Performance. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF DASH project, large-scale shared-memory multiprocessors, directory-based cachecoherence, reference behavior, DASHprotocol, atomic tests, performance evaluation, parallel programming, shared memory systems, storage management, buffer storage, hardware performance monitor, coherent caches
26Anirban Chakraborty 0003, Sarani Bhattacharya, Sayandeep Saha, Debdeep Mukhopadhyay Are Randomized Caches Truly Random? Formal Analysis of Randomized-Partitioned Caches. Search on Bibsonomy HPCA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Elizabath Peter, K. K. Krishnan Namboodiri, B. Sundar Rajan Coded Caching with Shared Caches and Private Caches. Search on Bibsonomy ITW The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Elizabath Peter, K. K. Krishnan Namboodiri, B. Sundar Rajan Coded Caching with Shared Caches and Private Caches. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Tarunesh Verma, Achilleas Anastasopoulos, Todd M. Austin These Aren't The Caches You're Looking For: Stochastic Channels on Randomized Caches. Search on Bibsonomy SEED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Iacovos G. Kolokasis, Anastasios Papagiannis, Polyvios Pratikakis, Angelos Bilas, Foivos S. Zakkak Say Goodbye to Off-heap Caches! On-heap Caches Using Memory-Mapped I/O. Search on Bibsonomy HotStorage The full citation details ... 2020 DBLP  BibTeX  RDF
26Valentin Touzeau Static analysis of least recently used caches: complexity, optimal analysis, and applications to worst-case execution time and security. (Analyse statique de caches LRU : complexité, analyse optimale, et applications au calcul de pire temps d'exécution et à la sécurité). Search on Bibsonomy 2019   RDF
26Junchao Ma, Jiahuan Wang, Gang Liu 0007, Pingzhi Fan Low Latency Caching Placement Policy for Cloud-Based VANET with Both Vehicle Caches and RSU Caches. Search on Bibsonomy GLOBECOM Workshops The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
26Po-Hao Wang, Shang-Jen Tsai, Rizal Tanjung, Tay-Jyi Lin, Jinn-Shyan Wang, Tien-Fu Chen Cross-matching caches: Dynamic timing calibration and bit-level timing-failure mask caches to reduce timing discrepancies with low voltage processors. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26Sara Alouf, Nicaise Choungmo Fofack, Nedko Nedkov Performance models for hierarchy of caches: Application to modern DNS caches. Search on Bibsonomy Perform. Evaluation The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26Jordan de la Houssaye Modèle de stockages distribués appliqué aux caches hiérarchiques. (Distributed storage models applied to hierarchical caches). Search on Bibsonomy 2015   RDF
26Karthikeyan Dayalan, Meltem Ozsoy, Dmitry V. Ponomarev Dynamic associative caches: Reducing dynamic energy of first level caches. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Jia-Jhe Li, Yuan-Shin Hwang Indirect-Mapped Caches: Approximating Set-Associativity with Direct-Mapped Caches. Search on Bibsonomy CDES The full citation details ... 2009 DBLP  BibTeX  RDF
26Afrin Naz, Krishna M. Kavi, Philip H. Sweany, Wentong Li A Study of Reconfigurable Split Data Caches and Instruction Caches. Search on Bibsonomy PDCS The full citation details ... 2006 DBLP  BibTeX  RDF
26Anant Agarwal, Steven D. Pudar Column-Associative Caches: A Technique for Reducing the Miss Rate of Direct-Mapped Caches. Search on Bibsonomy ISCA The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
25Andrea Calimera, Mirko Loghi, Enrico Macii, Massimo Poncino Dynamic indexing: concurrent leakage and aging optimization for caches. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF leakage optimization, memory hierarchy, aging, NBTI
25Georgios Keramidas, Polychronis Xekalakis, Stefanos Kaxiras Recruiting Decay for Dynamic Power Reduction in Set-Associative Caches. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Manu Awasthi, Kshitij Sudan, Rajeev Balasubramonian, John B. Carter Dynamic hardware-assisted software-controlled page placement to manage capacity allocation and sharing within large caches. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Sangmin Seo, Jaejin Lee, Zehra Sura Design and implementation of software-managed caches for multicores with local memory. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Md. Mafijul Islam, Sally A. McKee, Per Stenström Cancellation of loads that return zero using zero-value caches. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF frequent value locality, load criticality, zero load, cache
25Mrinmoy Ghosh, Emre Özer 0001, Simon Ford, Stuart Biles, Hsien-Hsin S. Lee Way guard: a segmented counting bloom filter approach to reducing energy for set-associative caches. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power, bloom filter
25Naveen Muralimanohar, Rajeev Balasubramonian, Norman P. Jouppi Architecting Efficient Interconnects for Large Caches with CACTI 6.0. Search on Bibsonomy IEEE Micro The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CACTI 6.0, on-chip interconnects, cache design
Displaying result #101 - #200 of 3380 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license