|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 2974 occurrences of 1216 keywords
|
|
|
Results
Found 3381 publication records. Showing 3380 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
33 | Kun-Lung Wu, W. Kent Fuchs, Janak H. Patel |
Error Recovery in Shared Memory Multiprocessors Using Private Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 1(2), pp. 231-240, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
processor transient faults, user-transparent checkpointing, checkpointed computation state, recovery stacks, rollback propagation, rapidrecovery, fault tolerance, fault tolerant computing, multiprocessor interconnection networks, multiprocessing systems, shared memory multiprocessors, system recovery, buffer storage, cache coherence protocols, performance degradation, processor utilization, private caches, error latency |
33 | Andrew Putnam, Susan J. Eggers, Dave Bennett, Eric Dellinger, Jeff Mason, Henry Styles, Prasanna Sundararajan, Ralph Wittig |
Performance and power of cache-based reconfigurable computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 36th International Symposium on Computer Architecture (ISCA 2009), June 20-24, 2009, Austin, TX, USA, pp. 395-405, 2009, ACM, 978-1-60558-526-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
c-to-gates, c-to-hardware, co-processor accelerator, many-cache, synthesis compiler, fpga, caches |
33 | Leticia Pascual, Alejandro Torrentí, Julio Sahuquillo, José Flich |
Understanding cache hierarchy interactions with a program-driven simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WCAE ![In: Proceedings of the 2007 Workshop on Computer Architecture Education, WCAE 2007, San Diego, California, USA, Saturday, June 9, 2007, pp. 30-35, 2007, ACM, 978-1-59593-797-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
multi-level caches, cache organization, write policies |
33 | Kyoungwoo Lee, Aviral Shrivastava, Ilya Issenin, Nikil D. Dutt, Nalini Venkatasubramanian |
Mitigating soft error failures for multimedia applications by selective data protection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2006 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2006, Seoul, Korea, October 22-25, 2006, pp. 411-420, 2006, ACM, 1-59593-543-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
horizontally partitioned caches, multimedia embedded systems, selective data protection, soft errors |
33 | Ravi R. Iyer 0001 |
Characterization and Evaluation of Cache Hierarchies for Web Servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
World Wide Web ![In: World Wide Web 7(3), pp. 259-280, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
chipsets, snoop filters, simulation, performance evaluation, memory hierarchy, web servers, cache coherence, shared caches, commercial workloads |
33 | Ben H. H. Juurlink, Pepijn J. de Langen |
Dynamic techniques to reduce memory traffic in embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the First Conference on Computing Frontiers, 2004, Ischia, Italy, April 14-16, 2004, pp. 192-201, 2004, ACM, 1-58113-741-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
memory traffic, caches, power consumption, embedded processors |
33 | Hemendra Singh Negi, Tulika Mitra, Abhik Roychoudhury |
Accurate estimation of cache-related preemption delay. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2003, Newport Beach, CA, USA, October 1-3, 2003, pp. 201-206, 2003, ACM, 1-58113-742-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
caches, multitasking, formal analysis, preemption |
33 | Marc Ségura-Devillechaise, Jean-Marc Menaud, Gilles Muller, Julia L. Lawall |
Web cache prefetching as an aspect: towards a dynamic-weaving based solution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AOSD ![In: Proceedings of the 2nd International Conference on Aspect-Oriented Software Development, AOSD 2003, Boston, Massachusetts, USA, March 17-21, 2003, pp. 110-119, 2003, ACM, 1-58113-660-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
pointcut language, Java, aspect-oriented programming, Web caches, adaptable software, code instrumentation |
33 | Damien Charlet, Pascal Chatonnay, François Spies |
Hand-Over Video Cache Policy for Mobile Users. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAIS ![In: Distributed Applications and Interoperable Systems, 4th IFIP WG6.1 International Conference, DAIS 2003, Paris, France, November 17-21, 2003, Proceedings, pp. 179-186, 2003, Springer, 3-540-20529-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
distributed video caches, mobile devices, Multimedia streaming |
33 | Johnson Kin, Munish Gupta, William H. Mangione-Smith |
The Filter Cache: An Energy Efficient Memory Structure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 30, Research Triangle Park, North Carolina, USA, December 1-3, 1997, pp. 184-193, 1997, ACM/IEEE Computer Society, 0-8186-7977-8. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
direct mapped 256-byte filter cache, energy efficient memory structure, on-chip caches, static RAM, microprocessors, microprocessor chips, power reduction, embedded applications, L2 cache, filter cache, L1 cache |
31 | Berkin Ilbeyi, John A. Nestor |
VCache: visualization applet for processor caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITiCSE ![In: Proceedings of the 15th Annual SIGCSE Conference on Innovation and Technology in Computer Science Education, ITiCSE 2010, Bilkent, Ankara, Turkey, June 26-30, 2010, pp. 304, 2010, ACM, 978-1-60558-729-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
visualization, education, computer organization |
31 | Soontae Kim |
Reducing Area Overhead for Error-Protecting Large L2/L3 Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 58(3), pp. 300-310, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Seung Woo Son 0001, Sai Prashanth Muralidhara, Ozcan Ozturk 0001, Mahmut T. Kandemir, Ibrahim Kolcu, Mustafa Karaköy |
Profiler and compiler assisted adaptive I/O prefetching for shared storage caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 17th International Conference on Parallel Architectures and Compilation Techniques, PACT 2008, Toronto, Ontario, Canada, October 25-29, 2008, pp. 112-121, 2008, ACM, 978-1-60558-282-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
shared storage cache, adaptive, compiler, profiler, prefetching |
31 | Marios Kleanthous, Yiannakis Sazeides |
CATCH: A Mechanism for Dynamically Detecting Cache-Content-Duplication and its Application to Instruction Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 1426-1431, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Subramanian Ramaswamy, Sudhakar Yalamanchili |
Customized Placement for High Performance Embedded Processor Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARCS ![In: Architecture of Computing Systems - ARCS 2007, 20th International Conference, Zurich, Switzerland, March 12-15, 2007, Proceedings, pp. 69-82, 2007, Springer, 978-3-540-71267-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Soontae Kim |
Area-efficient error protection for caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006, pp. 1282-1287, 2006, European Design and Automation Association, Leuven, Belgium, 3-9810801-1-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Afrin Naz, Mehran Rezaei, Krishna M. Kavi, Philip H. Sweany |
Improving data cache performance with integrated use of split caches, victim cache and stream buffers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGARCH Comput. Archit. News ![In: SIGARCH Comput. Archit. News 33(3), pp. 41-48, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
array cache, memory access time, scalar cache, victim cache, stream buffer |
31 | Georgi Gaydadjiev, Stamatis Vassiliadis |
Flux Caches: What Are They and Are They Useful? ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAMOS ![In: Embedded Computer Systems: Architectures, Modeling, and Simulation 5th International Workshop, SAMOS 2005, Samos, Greece, July 18-20, 2005, Proceedings, pp. 93-102, 2005, Springer, 3-540-26969-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Antonio Martí Campoy, Isabelle Puaut, Angel Perles Ivars, José V. Busquets-Mataix |
Cache Contents Selection for Statically-Locked Instruction Caches: An Algorithm Comparison. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 17th Euromicro Conference on Real-Time Systems (ECRTS 2005), 6-8 July 2005, Palma de Mallorca, Spain, Proceedings, pp. 49-56, 2005, IEEE Computer Society, 0-7695-2400-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Yiu-Fai Sit, Francis C. M. Lau 0001, Cho-Li Wang |
On The Cooperation of Web Clients and Proxy Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS (1) ![In: 11th International Conference on Parallel and Distributed Systems, ICPADS 2005, Fuduoka, Japan, July 20-22, 2005, pp. 264-270, 2005, IEEE Computer Society, 0-7695-2281-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Kiran Puttaswamy, Gabriel H. Loh |
Implementing Caches in a 3D Technology for High Performance Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 23rd International Conference on Computer Design (ICCD 2005), 2-5 October 2005, San Jose, CA, USA, pp. 525-532, 2005, IEEE Computer Society, 0-7695-2451-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Ramesh V. Peri, John Fernando, Ravi K. Kolagotla |
Addressing mode driven low power data caches for embedded processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WMPI ![In: Proceedings of the 3rd Workshop on Memory Performance Issues, in conjunction with the 31st International Symposium on Computer Architecture 2004, Munich, Germany, June 20, 2004, pp. 129-135, 2004, ACM, 1-59593-040-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Bradford M. Beckmann, David A. Wood 0001 |
Managing Wire Delay in Large Chip-Multiprocessor Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 37th Annual International Symposium on Microarchitecture (MICRO-37 2004), 4-8 December 2004, Portland, OR, USA, pp. 319-330, 2004, IEEE Computer Society, 0-7695-2126-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Julio C. López, David R. O'Hallaron, Tiankai Tu |
Big Wins with Small Application-Aware Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE SC2004 Conference on High Performance Networking and Computing, 6-12 November 2004, Pittsburgh, PA, USA, CD-Rom, pp. 20, 2004, IEEE Computer Society, 0-7695-2153-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Ravishankar K. Iyer |
Performance implications of chipset caches in web servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: 2003 IEEE International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings, pp. 176-185, 2003, IEEE Computer Society, 0-7803-7756-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
31 | Jui-Ming Chen, Li-Der Chou |
Active Dispatching Schemes for Web Caches in Mobile Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA ![In: 17th International Conference on Advanced Information Networking and Applications (AINA'03), March 27-29, 2003, Xi'an, China, pp. 362-366, 2003, IEEE Computer Society, 0-7695-1906-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
31 | Madhukar R. Korupolu, Michael Dahlin |
Coordinated Placement and Replacement for Large-Scale Distributed Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 14(6), pp. 1317-1329, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Cache, web, distributed, cooperative, placement, hierarchical, replacement |
31 | Yingwu Zhu, Yiming Hu |
Can large disk built-in caches really improve system performance?. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the International Conference on Measurements and Modeling of Computer Systems, SIGMETRICS 2002, June 15-19, 2002, Marina Del Rey, California, USA, pp. 284-285, 2002, ACM, 1-58113-531-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
31 | Changkyu Kim, Doug Burger, Stephen W. Keckler |
An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-X), San Jose, California, USA, October 5-9, 2002., pp. 211-222, 2002, ACM Press, 1-58113-574-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
31 | Brannon Batson, T. N. Vijaykumar |
Reactive-Associative Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 2001 International Conference on Parallel Architectures and Compilation Techniques (PACT 2001), 8-12 September 2001, Barcelona, Spain, pp. 49-60, 2001, IEEE Computer Society, 0-7695-1363-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Martin F. Arlitt, Ludmila Cherkasova, John Dilley, Rich Friedrich, Tai Jin |
Evaluating content management techniques for Web proxy caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS Perform. Evaluation Rev. ![In: SIGMETRICS Perform. Evaluation Rev. 27(4), pp. 3-11, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
WEB |
31 | Xiao-Yu Hu, Pablo Rodriguez 0001, Ernst W. Biersack |
Performance Study of Satellite-Linked Web Caches and Filtering Policies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NETWORKING ![In: NETWORKING 2000, Broadband Communications, High Performance Networking, and Performance of Communication Networks, IFIP-TC6 / European Commission International Conference, Paris, France, May 14-19, 2000, Proceedings, pp. 580-595, 2000, Springer, 3-540-67506-X. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Satellite Distribution, Filtering Policies, World-Wide Web, Web Caching |
31 | Olga Kapitskaia, Raymond T. Ng, Divesh Srivastava |
Evolution and Revolutions in LDAP Directory Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EDBT ![In: Advances in Database Technology - EDBT 2000, 7th International Conference on Extending Database Technology, Konstanz, Germany, March 27-31, 2000, Proceedings, pp. 202-216, 2000, Springer, 3-540-67227-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
31 | Kun-Lung Wu, Philip S. Yu |
Local Replication for Proxy Web Caches with Hash Routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIKM ![In: Proceedings of the 1999 ACM CIKM International Conference on Information and Knowledge Management, Kansas City, Missouri, USA, November 2-6, 1999, pp. 69-76, 1999, ACM, 1-58113-146-1. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
31 | Peter Triantafillou, Stavros Harizopoulos |
Prefetching into Smart-Disk Caches for High Performance Media Servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICMCS, Vol. 1 ![In: IEEE International Conference on Multimedia Computing and Systems, ICMCS 1999, Florence, Italy, June 7-11, 1999. Volume I, pp. 500-505, 1999, IEEE Computer Society, 0-7695-0253-9. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
smart disks, prefetching, servers, disk scheduling, continuous media |
31 | James E. Bennett, Michael J. Flynn |
Prediction Caches for Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 30, Research Triangle Park, North Carolina, USA, December 1-3, 1997, pp. 81-90, 1997, ACM/IEEE Computer Society, 0-8186-7977-8. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Prediction cache, Dynamic scheduling, Memory latency, Victim cache, Stream buffer |
31 | Hong Wang 0003, Tong Sun, Qing Yang 0001 |
CAT - Caching Address Tags: A Technique for Reducing Area Cost of On-Chip Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 22nd Annual International Symposium on Computer Architecture, ISCA '95, Santa Margherita Ligure, Italy, June 22-24, 1995, pp. 381-390, 1995, ACM, 0-89791-698-0. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
31 | Rabin A. Sugumar, Santosh G. Abraham |
Efficient Simulation of Caches under Optimal Replacement with Applications to Miss Characterization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1993 ACM SIGMETRICS conference on Measurement and modeling of computer systems, Santa Clara, California, USA, May 10-14, 1993, pp. 24-35, 1993, ACM, 0-89791-580-1. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
31 | Brent E. Nelson, James K. Archibald, J. Kelly Flanagan |
Performance analysis of inclusion effects in multi-level multiprocessor caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPDP ![In: Proceedings of the Third IEEE Symposium on Parallel and Distributed Processing, SPDP 1991, 2-5 December 1991, Dallas, Texas, USA, pp. 513-516, 1991, IEEE Computer Society, 0-8186-2310-1. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
31 | Mazin S. Algudady, Chita R. Das, Matthew Thazhuthaveetil |
A write update cache coherence protocol for MIN-based multiprocessors with accessibility-based split caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings Supercomputing '90, New York, NY, USA, November 12-16, 1990, pp. 544-553, 1990, IEEE Computer Society, 0-89791-412-0. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
31 | Thomas W. Barr, Alan L. Cox, Scott Rixner |
Translation caching: skip, don't walk (the page table). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 37th International Symposium on Computer Architecture (ISCA 2010), June 19-23, 2010, Saint-Malo, France, pp. 48-59, 2010, ACM, 978-1-4503-0053-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
page walk caching, memory management, tlb |
31 | Moinuddin K. Qureshi |
Adaptive Spill-Receive for robust high-performance caching in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 14-18 February 2009, Raleigh, North Carolina, USA, pp. 45-54, 2009, IEEE Computer Society, 978-1-4244-2932-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Chuanjun Zhang |
Reducing cache misses through programmable decoders. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 4(4), pp. 5:1-5:31, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
low power, Cache, dynamic optimization |
31 | Heiko Falk, Sascha Plazar, Henrik Theiling |
Compile-time decided instruction cache locking using worst-case execution paths. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2007, Salzburg, Austria, September 30 - October 3, 2007, pp. 143-148, 2007, ACM, 978-1-59593-824-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
WC-path, cache locking, optimization, WCET |
31 | Xin Yu |
Distributed Cache Updating for the Dynamic Source Routing Protocol. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Mob. Comput. ![In: IEEE Trans. Mob. Comput. 5(6), pp. 609-626, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
distributed cache updating, mobility, Mobile ad hoc networks, on-demand routing protocols |
31 | Lakshmish Ramaswamy, Ling Liu 0001, Jianjun Zhang 0001 |
Efficient Formation of Edge Cache Groups for Dynamic Content Delivery. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: 26th IEEE International Conference on Distributed Computing Systems (ICDCS 2006), 4-7 July 2006, Lisboa, Portugal, pp. 43, 2006, IEEE Computer Society, 0-7695-2540-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Martin Thuresson, Per Stenström |
Scalable Value-Cache Based Compression Schemes for Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBAC-PAD ![In: 18th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2006), 17-20 October 2006, Ouro Preto, Minas Gerais, Brazil, pp. 117-124, 2006, IEEE Computer Society, 0-7695-2704-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Nam Sung Kim, David T. Blaauw, Trevor N. Mudge |
Quantitative analysis and optimization techniques for on-chip cache leakage power. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 13(10), pp. 1147-1156, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Xin Yu, Zvi M. Kedem |
A distributed adaptive cache update algorithm for the dynamic source routing protocol. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INFOCOM ![In: INFOCOM 2005. 24th Annual Joint Conference of the IEEE Computer and Communications Societies, 13-17 March 2005, Miami, FL, USA, pp. 730-739, 2005, IEEE, 0-7803-8968-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Evan Speight, Hazim Shafi, Lixin Zhang 0002, Ramakrishnan Rajamony |
Adaptive Mechanisms and Policies for Managing Cache Hierarchies in Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 32st International Symposium on Computer Architecture (ISCA 2005), 4-8 June 2005, Madison, Wisconsin, USA, pp. 346-356, 2005, IEEE Computer Society, 978-0-7695-2270-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Lakshmish Ramaswamy, Ling Liu 0001 |
An Expiration Age-Based Document Placement Scheme for Cooperative Web Caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 16(5), pp. 585-600, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Cooperative Web caching, document placement, distributed caching |
31 | Marek Tudruj, Lukasz Masko |
Dynamic SMP Clusters with Communication on the Fly in NoC Technology for Very Fine Grain Computations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPDC/HeteroPar ![In: 3rd International Symposium on Parallel and Distributed Computing (ISPDC 2004), 3rd International Workshop on Algorithms, Models and Tools for Parallel Computing on Heterogenous Networks (HeteroPar 2004), 5-7 July 2004, Cork, Ireland, pp. 97-104, 2004, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Parallel System Architecture, Shared Memory Systems, Cluster Systems |
31 | Dimitrios S. Nikolopoulos |
Code and Data Transformations for Improving Shared Cache Performance on SMT Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISHPC ![In: High Performance Computing, 5th International Symposium, ISHPC 2003, Tokyo-Odaiba, Japan, October 20-22, 2003, Proceedings, pp. 54-69, 2003, Springer, 3-540-20359-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
compilers, operating systems, memory hierarchies, runtime systems, multithreaded processors |
31 | Yiming Hu, Tycho Nightingale, Qing Yang 0001 |
RAPID-Cache-A Reliable and Inexpensive Write Cache for High Performance Storage Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 13(3), pp. 290-307, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
fault-tolerance, performance, reliability, storage systems, disks |
31 | Zhen Liu 0001, Mark S. Squillante, Cathy H. Xia, S. Yu, Li Zhang 0002, Naceur Malouch, Paul Dantzig |
Analysis of Caching Mechanisms from Sporting Event Web Sites. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASIAN ![In: Advances in Computing Science - ASIAN 2002, Internet Computing and Modeling, Grid Computing, Peer-to-Peer Computing, and Cluster Computing, 7th Asian Computing Science Conference, Hanoi, Vietnam, December 4-6, 2002, Proceedings, pp. 76-86, 2002, Springer, 3-540-00195-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
31 | Michael D. Powell, Amit Agarwal 0001, T. N. Vijaykumar, Babak Falsafi, Kaushik Roy 0001 |
Reducing set-associative cache energy via way-prediction and selective direct-mapping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 34th Annual International Symposium on Microarchitecture, Austin, Texas, USA, December 1-5, 2001, pp. 54-65, 2001, ACM/IEEE Computer Society, 0-7695-1369-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Jean-Marc Menaud, Valérie Issarny, Michel Banâtre |
Improving the Effectiveness of Web Caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Advances in Distributed Systems ![In: Advances in Distributed Systems, Advanced Distributed Computing: From Algorithms to Systems, pp. 375-401, 1999, Springer, 3-540-67196-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
31 | Mazin S. Yousif, Chita R. Das |
A Switch Cache Design for MIN-Based Shared-Memory Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CONPAR ![In: Parallel Processing: CONPAR 94 - VAPP VI, Third Joint International Conference on Vector and Parallel Processing, Linz, Austria, September 6-8, 1994, Proceedings, pp. 426-437, 1994, Springer, 3-540-58430-7. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
31 | Marco Annaratone, Roland Rühl |
Performance Measurements on a Commercial Multiprocessor Running Parallel Code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 16th Annual International Symposium on Computer Architecture. Jerusalem, Israel, June 1989, pp. 307-314, 1989, ACM, 0-89791-319-1. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
C, FORTRAN |
31 | Michael N. Nelson, Brent B. Welch, John K. Ousterhout |
Caching in the Sprite Network File System (Extended Abstract). (long version: ACM Trans. Comput. Syst. 6(1): 134-154(1988)) ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOSP ![In: Proceedings of the Eleventh ACM Symposium on Operating System Principles, SOSP 1987, Stouffer Austin Hotel, Austin, Texas, USA, November 8-11, 1987, pp. 3-4, 1987, ACM, 0-89791-242-X. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
28 | Ann Gordon-Ross, Jeremy Lau, Brad Calder |
Phase-based cache reconfiguration for a highly-configurable two-level cache hierarchy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, Orlando, Florida, USA, May 4-6, 2008, pp. 379-382, 2008, ACM, 978-1-59593-999-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
cache tuning, phase prediction, phase-based reconfiguration, phase-based tuning, caches, configurable caches, configurable architecture |
27 | Yannis Klonatos, Thanos Makatos, Manolis Marazakis, Michail D. Flouris, Angelos Bilas |
Azor: Using Two-Level Block Selection to Improve SSD-Based I/O Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NAS ![In: Sixth International Conference on Networking, Architecture, and Storage, NAS 2011, Dalian, China, 28-30 July, 2011, pp. 309-318, 2011, IEEE Computer Society, 978-1-4577-1172-5. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
Solid State Disk (SSD) caches, Evaluation, I/O performance |
27 | Kanad Ghose, Milind B. Kamble |
Reducing power in superscalar processor caches using subbanking, multiple line buffers and bit-line segmentation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 1999 International Symposium on Low Power Electronics and Design, 1999, San Diego, California, USA, August 16-17, 1999, pp. 70-75, 1999, ACM, 1-58113-133-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
power estimation, low power caches |
27 | Pablo Ibáñez, Víctor Viñals |
Performance Assessment of Contents Management in Multilevel On-Chip Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 22rd EUROMICRO Conference '96, Beyond 2000: Hardware and Software Design Strategies, September 2-5, 1996, Prague, Czech Republic, pp. 431-440, 1996, IEEE Computer Society, 0-8186-7487-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
multilevel on-chip caches, Inclusion contents management, Exclusion, second-level cache miss ratio, system CPI, floating point SPEC'92 benchmarks, performance metrics, contents management, cache storage, design space, performance assessment, Demand |
27 | Kanad Ghose, Kiran Raghavendra Desai, Peter M. Kogge |
Using Method Lookup Caches and Register Windowing to Speed Up Dynamically-Bound Object-Oriented Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 22rd EUROMICRO Conference '96, Beyond 2000: Hardware and Software Design Strategies, September 2-5, 1996, Prague, Czech Republic, pp. 441-, 1996, IEEE Computer Society, 0-8186-7487-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
method lookup caches, dynamically-bound object-oriented applications, logical reference, method binding, context allocation, contemporary pipelined datapath, detailed register level simulation, object-oriented programming, object oriented programming languages, register windowing |
27 | Josep Torrellas, Monica S. Lam, John L. Hennessy |
False Sharing ans Spatial Locality in Multiprocessor Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 43(6), pp. 651-663, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
multiprocessor caches, coherence transactions, interleaved fashion, programmer-transparent method, performance evaluation, shared-memory multiprocessors, program compilers, shared memory systems, buffer storage, data cache, spatial locality, false sharing, shared data, cache block, cache miss rates |
27 | Jaswinder Pal Singh, Harold S. Stone, Dominique Thiébaut |
A Model of Workloads and Its Use in Miss-Rate Prediction for Fully Associative Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 41(7), pp. 811-825, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
model of workloads, miss-rate prediction, fully associative caches, behavior of programs, fully associative cache, least-recently-used replacement, mathematical model, memory architecture, buffer storage, content-addressable storage, temporal locality, spatial locality |
27 | Mark D. Hill, Alan Jay Smith |
Evaluating Associativity in CPU Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 38(12), pp. 1612-1630, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
CPU caches, cache miss ratio, forest simulation, all-associativity simulation, stack simulation, associativity, buffer storage, content-addressable storage, direct-mapped, set-associative |
27 | Andrew Putnam, Susan J. Eggers, Dave Bennett, Eric Dellinger, Jeff Mason, Henry Styles, Prasanna Sundararajan, Ralph Wittig |
Performance and power of cache-based reconfigurable computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, FPGA 2009, Monterey, California, USA, February 22-24, 2009, pp. 281, 2009, ACM, 978-1-60558-410-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
c-to-gates, c-to-hardware, co-processor accelerators, fpga, caches |
27 | Guangyu Sun 0003, Xiaoxia Wu, Yuan Xie 0001 |
Exploration of 3D stacked L2 cache design for high performance and efficient thermal control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009, pp. 295-298, 2009, ACM, 978-1-60558-684-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
thermal control, performance, 3D, L2 caches |
27 | Frank McCown, Michael L. Nelson |
Recovering a website's server components from the web infrastructure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
JCDL ![In: ACM/IEEE Joint Conference on Digital Libraries, JCDL 2008, Pittsburgh, PA, USA, June 16-20, 2008, pp. 124-133, 2008, ACM, 978-1-59593-998-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
search engine caches, web server, digital preservation, web archiving, backup |
27 | Frank McCown, Norou Diawara, Michael L. Nelson |
Factors affecting website reconstruction from the web infrastructure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
JCDL ![In: ACM/IEEE Joint Conference on Digital Libraries, JCDL 2007, Vancouver, BC, Canada, June 18-23, 2007, Proceedings, pp. 39-48, 2007, ACM, 978-1-59593-644-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
search engine caches, digital preservation, web archiving |
27 | Ismail Kadayif, Mahmut T. Kandemir |
Modeling and improving data cache reliability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 2007 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, SIGMETRICS 2007, San Diego, California, USA, June 12-16, 2007, pp. 1-12, 2007, ACM, 978-1-59593-639-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
vulnerability factors, reliability, data integrity, soft errors, data caches |
27 | Vasileios Liaskovitis, Shimin Chen, Phillip B. Gibbons, Anastassia Ailamaki, Guy E. Blelloch, Babak Falsafi, Limor Fix, Nikos Hardavellas, Michael Kozuch, Todd C. Mowry, Chris Wilkerson |
Parallel depth first vs. work stealing schedulers on CMP architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2006: Proceedings of the 18th Annual ACM Symposium on Parallelism in Algorithms and Architectures, Cambridge, Massachusetts, USA, July 30 - August 2, 2006, pp. 330, 2006, ACM, 1-59593-452-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
scheduling, caches, chip multiprocessors |
27 | Soontae Kim, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Anand Sivasubramaniam, Mary Jane Irwin |
Partitioned instruction cache architecture for energy efficiency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 2(2), pp. 163-185, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Caches, energy, memory system |
27 | Zheng Zhang 0001, Marcelo H. Cintra, Josep Torrellas |
Excel-NUMA: Toward Programmability, Simplicity, and High Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 48(2), pp. 256-264, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
NUMA organizations, performance evaluation, caches, Shared-memory multiprocessors, cache-coherence protocols |
27 | Chun Xia, Josep Torrellas |
Improving the Data Cache Performance of Multiprocessor Operating Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Second International Symposium on High-Performance Computer Architecture, San Jose, CA, USA, February 3-7, 1996, pp. 85-94, 1996, IEEE Computer Society, 0-8186-7237-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
data cache performance, operating system effect on caches, bus-based multiprocessor, address trace evaluation, block operations, latency hiding |
27 | André Seznec |
Don't Use the Page Number, But a Pointer To It. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 23rd Annual International Symposium on Computer Architecture, Philadelphia, PA, USA, May 22-24, 1996, pp. 104-113, 1996, ACM, 0-89791-786-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
address width, indirect-tagged caches, reduced branch target buffers, tag implementation cost |
27 | Daniel Lenoski, James Laudon, Truman Joe, David Nakahira, Luis Stevens, Anoop Gupta, John L. Hennessy |
The DASH Prototype: Logic Overhead and Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 4(1), pp. 41-61, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
DASH project, large-scale shared-memory multiprocessors, directory-based cachecoherence, reference behavior, DASHprotocol, atomic tests, performance evaluation, parallel programming, shared memory systems, storage management, buffer storage, hardware performance monitor, coherent caches |
26 | Anirban Chakraborty 0003, Sarani Bhattacharya, Sayandeep Saha, Debdeep Mukhopadhyay |
Are Randomized Caches Truly Random? Formal Analysis of Randomized-Partitioned Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: IEEE International Symposium on High-Performance Computer Architecture, HPCA 2023, Montreal, QC, Canada, February 25 - March 1, 2023, pp. 233-246, 2023, IEEE, 978-1-6654-7652-2. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
26 | Elizabath Peter, K. K. Krishnan Namboodiri, B. Sundar Rajan |
Coded Caching with Shared Caches and Private Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITW ![In: IEEE Information Theory Workshop, ITW 2023, Saint-Malo, France, April 23-28, 2023, pp. 119-124, 2023, IEEE, 979-8-3503-0149-6. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
26 | Elizabath Peter, K. K. Krishnan Namboodiri, B. Sundar Rajan |
Coded Caching with Shared Caches and Private Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2209.00299, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
26 | Tarunesh Verma, Achilleas Anastasopoulos, Todd M. Austin |
These Aren't The Caches You're Looking For: Stochastic Channels on Randomized Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SEED ![In: 2022 IEEE International Symposium on Secure and Private Execution Environment Design (SEED), Storrs, CT, USA, September 26-27, 2022, pp. 37-48, 2022, IEEE, 978-1-6654-8526-5. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
26 | Iacovos G. Kolokasis, Anastasios Papagiannis, Polyvios Pratikakis, Angelos Bilas, Foivos S. Zakkak |
Say Goodbye to Off-heap Caches! On-heap Caches Using Memory-Mapped I/O. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HotStorage ![In: 12th USENIX Workshop on Hot Topics in Storage and File Systems, HotStorage 2020, July 13-14, 2020, 2020, USENIX Association. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
26 | Valentin Touzeau |
Static analysis of least recently used caches: complexity, optimal analysis, and applications to worst-case execution time and security. (Analyse statique de caches LRU : complexité, analyse optimale, et applications au calcul de pire temps d'exécution et à la sécurité). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2019 |
RDF |
|
26 | Junchao Ma, Jiahuan Wang, Gang Liu 0007, Pingzhi Fan |
Low Latency Caching Placement Policy for Cloud-Based VANET with Both Vehicle Caches and RSU Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GLOBECOM Workshops ![In: 2017 IEEE Globecom Workshops, Singapore, December 4-8, 2017, pp. 1-6, 2017, IEEE, 978-1-5386-3920-7. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
26 | Po-Hao Wang, Shang-Jen Tsai, Rizal Tanjung, Tay-Jyi Lin, Jinn-Shyan Wang, Tien-Fu Chen |
Cross-matching caches: Dynamic timing calibration and bit-level timing-failure mask caches to reduce timing discrepancies with low voltage processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Integr. ![In: Integr. 54, pp. 24-36, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
26 | Sara Alouf, Nicaise Choungmo Fofack, Nedko Nedkov |
Performance models for hierarchy of caches: Application to modern DNS caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Perform. Evaluation ![In: Perform. Evaluation 97, pp. 57-82, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
26 | Jordan de la Houssaye |
Modèle de stockages distribués appliqué aux caches hiérarchiques. (Distributed storage models applied to hierarchical caches). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2015 |
RDF |
|
26 | Karthikeyan Dayalan, Meltem Ozsoy, Dmitry V. Ponomarev |
Dynamic associative caches: Reducing dynamic energy of first level caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 32nd IEEE International Conference on Computer Design, ICCD 2014, Seoul, South Korea, October 19-22, 2014, pp. 118-124, 2014, IEEE Computer Society, 978-1-4799-6492-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
26 | Jia-Jhe Li, Yuan-Shin Hwang |
Indirect-Mapped Caches: Approximating Set-Associativity with Direct-Mapped Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CDES ![In: Proceedings of the 2009 International Conference on Computer Design, CDES 2009, July 13-16, 2009, Las Vegas Nevada, USA, pp. 164-170, 2009, CSREA Press, 1-60132-096-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP BibTeX RDF |
|
26 | Afrin Naz, Krishna M. Kavi, Philip H. Sweany, Wentong Li |
A Study of Reconfigurable Split Data Caches and Instruction Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDCS ![In: Proceedings of the ISCA 19th International Conference on Parallel and Distributed Computing Systems, September 20-11, 2006, San Francisco, California, USA, pp. 235-240, 2006, ISCA, 978-1-880843-60-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP BibTeX RDF |
|
26 | Anant Agarwal, Steven D. Pudar |
Column-Associative Caches: A Technique for Reducing the Miss Rate of Direct-Mapped Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 20th Annual International Symposium on Computer Architecture, San Diego, CA, USA, May 1993, pp. 179-190, 1993, ACM, 0-8186-3810-9. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
25 | Andrea Calimera, Mirko Loghi, Enrico Macii, Massimo Poncino |
Dynamic indexing: concurrent leakage and aging optimization for caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010, pp. 343-348, 2010, ACM, 978-1-4503-0146-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
leakage optimization, memory hierarchy, aging, NBTI |
25 | Georgios Keramidas, Polychronis Xekalakis, Stefanos Kaxiras |
Recruiting Decay for Dynamic Power Reduction in Set-Associative Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Trans. High Perform. Embed. Archit. Compil. ![In: Transactions on High-Performance Embedded Architectures and Compilers II, pp. 4-22, 2009, Springer, 978-3-642-00903-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
25 | Manu Awasthi, Kshitij Sudan, Rajeev Balasubramonian, John B. Carter |
Dynamic hardware-assisted software-controlled page placement to manage capacity allocation and sharing within large caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 14-18 February 2009, Raleigh, North Carolina, USA, pp. 250-261, 2009, IEEE Computer Society, 978-1-4244-2932-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
25 | Sangmin Seo, Jaejin Lee, Zehra Sura |
Design and implementation of software-managed caches for multicores with local memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 14-18 February 2009, Raleigh, North Carolina, USA, pp. 55-66, 2009, IEEE Computer Society, 978-1-4244-2932-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
25 | Md. Mafijul Islam, Sally A. McKee, Per Stenström |
Cancellation of loads that return zero using zero-value caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009, pp. 493-494, 2009, ACM, 978-1-60558-498-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
frequent value locality, load criticality, zero load, cache |
25 | Mrinmoy Ghosh, Emre Özer 0001, Simon Ford, Stuart Biles, Hsien-Hsin S. Lee |
Way guard: a segmented counting bloom filter approach to reducing energy for set-associative caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009, pp. 165-170, 2009, ACM, 978-1-60558-684-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
low power, bloom filter |
25 | Naveen Muralimanohar, Rajeev Balasubramonian, Norman P. Jouppi |
Architecting Efficient Interconnects for Large Caches with CACTI 6.0. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 28(1), pp. 69-79, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
CACTI 6.0, on-chip interconnects, cache design |
Displaying result #101 - #200 of 3380 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ >>] |
|