The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for crosstalk with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1976 (16) 1977-1983 (17) 1984-1990 (19) 1991-1993 (22) 1994-1995 (17) 1996 (16) 1997 (16) 1998 (23) 1999 (40) 2000 (66) 2001 (69) 2002 (92) 2003 (104) 2004 (131) 2005 (116) 2006 (147) 2007 (125) 2008 (118) 2009 (80) 2010 (76) 2011 (67) 2012 (70) 2013 (62) 2014 (79) 2015 (77) 2016 (76) 2017 (77) 2018 (78) 2019 (94) 2020 (80) 2021 (74) 2022 (81) 2023 (78) 2024 (14)
Publication types (Num. hits)
article(912) book(1) incollection(2) inproceedings(1390) phdthesis(12)
Venues (Conferences, Journals, ...)
IEEE Trans. Comput. Aided Des....(101) OFC(84) ISQED(60) IEEE Trans. Commun.(58) IEEE Trans. Very Large Scale I...(56) VLSI Design(49) DATE(48) DAC(41) ICCAD(41) ISCAS(40) ECOC(36) ASP-DAC(35) CoRR(33) ICC(27) ICCD(26) ITC(26) More (+10 of total 502)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 720 occurrences of 377 keywords

Results
Found 2317 publication records. Showing 2317 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
54Katherine Shu-Min Li, Chung-Len Lee 0001, Chauchin Su, Jwu E. Chen A Unified Approach to Detecting Crosstalk Faults of Interconnects in Deep Sub-Micron VLSI. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
54Haoxing Ren, David Zhigang Pan, Paul Villarrubia True crosstalk aware incremental placement with noise map. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
54Michele Favalli "Victim Gate" Crosstalk Fault Model. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
54Ajoy Kumar Palit, Volker Meyer, Walter Anheier, Jürgen Schlöffel Modeling and Analysis of Crosstalk Coupling Effect on the Victim Interconnect Using the ABCD Network Model. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
54Tao Deng 0001, Suresh Subramaniam 0001, Jinghao Xu Crosstalk-Aware Wavelength Assignment in Dynamic Wavelength-Routed Optical Networks. Search on Bibsonomy BROADNETS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
54N. V. Arvind, K. A. Rajagopal, H. S. Ajith, Das Suparna Path Based Approach for Crosstalk Delay Analysis. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
54Hai Zhou 0001 Timing analysis with crosstalk is a fixpoint on a complete lattice. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
54Chung-Kuan Tsai, Malgorzata Marek-Sadowska Modeling Crosstalk Induced Delay. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
54Wei-Cheng Lai, Jing-Reng Huang, Kwang-Ting (Tim) Cheng Embedded-Software-Based Approach to Testing Crosstalk-Induced Faults at On-Chip Buses. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
54Kyoung-Son Jhang, Soonhoi Ha, Chu Shik Jhon COP: a Crosstalk OPtimizer for gridded channel routing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
52Junxia Ma, Jeremy Lee, Mohammad Tehranipoor, Nisar Ahmed, Patrick Girard 0001 Pattern grading for testing critical paths considering power supply noise and crosstalk using a layout-aware quality metric. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF pattern grading, crosstalk, signal integrity, power supply noise, path delay test
52Basel Halak, Alexandre Yakovlev Fault-Tolerant Techniques to Minimize the Impact of Crosstalk on Phase Encoded Communication Channels. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Asynchronous operation, reliability and VLSI, Simulation, Fault tolerance, Performance, crosstalk, communication channels, Error-checking, information redundancy
52Ravikishore Gandikota, David T. Blaauw, Dennis Sylvester Modeling crosstalk in statistical static timing analysis. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF delay noise, crosstalk, SSTA
52Arthur Pereira Frantz, Maico Cassel, Fernanda Lima Kastensmidt, Érika F. Cota, Luigi Carro Crosstalk- and SEU-Aware Networks on Chips. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault tolerance. energy-aware systems, network on chip, crosstalk, single-event upset, hardware-software codesign
52Zemo Yang, Samiha Mourad Crosstalk Induced Fault Analysis and Test in DRAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF crosstalk, DRAMs, pattern sensitive faults
52Hua Xiang 0001, Kai-Yuan Chao, D. F. Wong 0001 An ECO algorithm for eliminating crosstalk violations. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF routing, crosstalk, ECO
52Grégory Servel, Denis Deschacht, Françoise Saliou, Jean-Luc Mattei, Fabrice Huret Impact of Low-K on Crosstalk. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Low-k, interconnections, Crosstalk, electromagnetic analysis
52Byron Krauter, David Widiger Variable frequency crosstalk noise analysis: : a methodology to guarantee functionality from dc to fmax. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF GCD frequency, LCM window, frequency-dependent noise, timing orthogonality, crosstalk, noise analysis, timing windows
52Peivand F. Tehrani, Shang Woo Chyou, Uma Ekambaram Deep Sub-Micron Static Timing Analysis in Presence of Crosstalk. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF simulation, VLSI, timing, Crosstalk, DSM, static, transistor
52Tianxiong Xue, Ernest S. Kuh, Dongsheng Wang 0012 Post global routing crosstalk risk estimation and reduction. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF risk tolerance bound, net sensitivity, ripping-up and rerouting, partitioning, global routing, crosstalk noise, risk estimation
50Wei-Yu Chen, Sandeep K. Gupta 0001, Melvin A. Breuer Test generation for crosstalk-induced faults: framework and computational result. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF 2-vector test generation, crosstalk-induced faults, noise effects, design effort, debugging effort, pulses, signal speedup, signal slowdown, digital combinational circuits, mixed-signal test generator, XGEN, static values, dynamic signals, signal arrival times, rise times, fall times, integrated circuit testing, automatic test pattern generation, combinational circuits, accuracy, vectors, circuit analysis computing, crosstalk, transitions, integrated logic circuits, technology scaling, SPICE simulations, gate delay, circuit performance, timing information, clock frequency
50Xiaoliang Bai, Rajit Chandra, Sujit Dey, P. V. Srinivas Interconnect coupling-aware driver modeling in static noise analysis for nanometer circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
50Alain Lopez, Denis Deschacht Comparison between Different Data Buses Configurations. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
50Arpan Singha, Rajat Kumar Pal Performance Driven Routing in Distributed Environment. Search on Bibsonomy IWDC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
48Seung Hoon Choi, Kaushik Roy 0001 Noise Analysis under Capacitive and Inductive Coupling for High Speed Circuits. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Crosstalk, Inductance, Capacitance, Noise Analysis, Noise Margin, High Speed Circuit
48Seung Hoon Choi, Bipul Chandra Paul, Kaushik Roy 0001 Dynamic Noise Analysis with Capacitive and Inductive Coupling. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF capacitiance, dynamic noise margin, crosstalk, inductance, noise analysis, deep submicron, noise model
46Tomasz Rudnicki, Tomasz Garbolino, Krzysztof Gucwa, Andrzej Hlawiczka Effective BIST for crosstalk faults in interconnects. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
46Genichi Tanaka, Koichi Nakashiro Crosstalk pessimism reduction with path base analysis. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
46Grzegorz Danilewicz, Wojciech Kabacinski, Marek Michalski, Mariusz Zal A New Control Algorithm for Wide-Sense Nonblocking Multiplane Photonic Banyan-Type Switching Fabrics with Zero Crosstalk. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
46Kishore Kumar Muchherla, Pinhong Chen, Dongsheng Ma, Janet Meiling Wang A noniterative equivalent waveform model for timing analysis in presence of crosstalk. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF equivalent waveform, delay, noise, timing analysis, Deep sub micron
46Xuebin Wu, Zhiyuan Yan, Yuan Xie 0001 Two-dimensional crosstalk avoidance codes. Search on Bibsonomy SiPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
46Jinki Kim, Gwan-Su Yi Modeling of the Effect of Crosstalk in Apoptotic Pathways on Caspase-3 Activation. Search on Bibsonomy FBIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
46Mike Bichan, Anthony Chan Carusone Crosstalk-Aware Transmitter Pulse-Shaping for Parallel Chip-to-Chip Links. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
46Edwin Naroska, Shanq-Jang Ruan, Uwe Schwiegelshohn Simultaneously optimizing crosstalk and power for instruction bus coupling capacitance using wire pairing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Qinghao Meng, Fengjuan Yao, Yuehua Wu Review of Crosstalk Elimination Methods for Ultrasonic Range Systems in Mobile Robots. Search on Bibsonomy IROS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Kumara Sastry, Paul Winward, David E. Goldberg, Cláudio F. Lima Fluctuating Crosstalk as a Source of Deterministic Noise and Its Effects on GA Scalability. Search on Bibsonomy EvoWorkshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Chunjie Duan, Kanupriya Gulati, Sunil P. Khatri Memory-based crosstalk canceling CODECs for on-chip buses. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Narender Hanchate, Nagarajan Ranganathan Post-Layout Gate Sizing for Interconnect Delay and Crosstalk Noise Optimization. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Narender Hanchate, Nagarajan Ranganathan A Linear Time Algorithm for Wire Sizing with Simultaneous Optimization of Interconnect Delay and Crosstalk Noise. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Tsung-Yi Ho, Yao-Wen Chang, Sao-Jie Chen, D. T. Lee Crosstalk- and performance-driven multilevel full-chip routing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
46Suvodeep Gupta, Srinivas Katkoori A Fast Word-Level Statistical Estimator of Intra-Bus Crosstalk. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
46Jingyu Xu, Xianlong Hong, Tong Jing, Ling Zhang, Jun Gu A coupling and crosstalk considered timing-driven global routing algorithm for high performance circuit design. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
46Kyung-Ah Han, Jae-Jin Lee, Jae-Cheol Ryou 0001 The VDSL Deployments and Their Associated Crosstalk with xDSL Systems. Search on Bibsonomy ICT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
46Steven Hinckley, Paul V. Jansz-Drávetzky, Kamran Eshraghian Pixel Structure Effects on Crosstalk in Backwall Illuminated CMOS Compatible Photodiode Arrays. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
46Marong Phadoongsidhi, Kewal K. Saluja Static Timing Analysis of Irreversible Crosstalk Noise Pulse Faults. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
46Sampo Tuuna, Jouni Isoaho Estimation of Crosstalk Noise for On-Chip Buses. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Huawei Li 0001, Yue Zhang, Xiaowei Li 0001 Delay Test Pattern Generation Considering Crosstalk-Induced Effects. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Luís G. C. Cancela, João J. O. Pires Crosstalk Effects in Large Strictly Non-blocking Optical Switches Based on Directional Couplers. Search on Bibsonomy HSNMC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Edwin Naroska, Shanq-Jang Ruan, Feipei Lai, Uwe Schwiegelshohn, Le-Chin Liu On optimizing power and crosstalk for bus coupling capacitance using genetic algorithms. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Shahdad Irajpour, Shahin Nazarian, Lei Wang, Sandeep K. Gupta 0001, Melvin A. Breuer Analyzing Crosstalk in the Presence of Weak Bridge Defects. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Rahul Kundu, R. D. (Shawn) Blanton Path Delay Test Generation for Domino Logic Circuits in the Presence of Crosstalk. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Xiaoliang Bai, Sujit Dey, Angela Krstic HyAC: A Hybrid Structural SAT Based ATPG for Crosstalk. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Jinjun Xiong, Jun Chen 0008, James D. Z. Ma, Lei He 0001 Post global routing RLC crosstalk budgeting. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Xiaohong Jiang 0001, Hong Shen 0001, Md. Mamun-ur-Rashid Khandker, Susumu Horiguchi Vertically Stacked Benes Networks for Crosstalk-Free Permutation. Search on Bibsonomy CW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46James D. Z. Ma, Lei He 0001 Towards global routing with RLC crosstalk constraints. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Chin-Chih Chang, Jason Cong Pseudopin assignment with crosstalk noise control. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
46Ki-Wook Kim, Sung-Mo Kang Crosstalk noise minimization in domino logic design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
46Song-Ra Pan, Yao-Wen Chang Crosstalk-Constrained Performance Optimization by Using Wire Sizing and Perturbation. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
46N. S. Nagaraj, Frank Cano, Duane Young, Deepak Vohra, Manoj Das A Practical Approach to Crosstalk Noise Verification of Static CMOS Designs. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
46Michael Cuviello, Sujit Dey, Xiaoliang Bai, Yi Zhao Fault modeling and simulation for crosstalk in system-on-chip interconnects. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
44Madhu Mutyam Selective shielding technique to eliminate crosstalk transitions. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF power consumption, Crosstalk, switching activity, bus encoding
44Amlan Ganguly, Partha Pratim Pande, Benjamin Belzer, Cristian Grecu Design of Low Power & Reliable Networks on Chip Through Joint Crosstalk Avoidance and Multiple Error Correction Coding. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Crosstalk avoidance, Multiple error correction, Joint codes, Low power, Network on Chip, Transient errors
44N. Ranganathan, Upavan Gupta, Venkataraman Mahalingam Simultaneous optimization of total power, crosstalk noise, and delay under uncertainty. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optimization, delay, power, gate sizing, crosstalk noise, fuzzy programming
44Taehoon Kim, Dongchul Kim, Jung-A Lee, Yungseon Eo Compact Models for Signal Transient and Crosstalk Noise of Coupled RLC Interconnect Lines with Ramp Inputs. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF inductance effect, signal transient, crosstalk, transmission lines
44Hariharan Sankaran, Srinivas Katkoori Bus Binding, Re-ordering, and Encoding for Crosstalk-Producing Switching Activity Minimization during High Level Synthesis. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Simulated Annealing, HLS, Encoding, Crosstalk, Binding, Reordering
44Minjin Zhang, Huawei Li 0001, Xiaowei Li 0001 Static Crosstalk Noise Analysis with Transition Map. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF static noise analysis, crosstalk, glitch
44Ajay K. Katangur, Somasheker Akkaladevi, Yi Pan 0001 Analyzing the performance of optical multistage interconnection networks with limited crosstalk. Search on Bibsonomy Clust. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF OMIN, Bandwidth, Crosstalk, Banyan network
44Wen-Wen Hsieh, Po-Yuan Chen, TingTing Hwang A bus architecture for crosstalk elimination in high performance processor design. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction/data bus, architecture, high performance, crosstalk
44Yici Cai, Xin Zhao, Qiang Zhou 0001, Xianlong Hong Shielding Area Optimization Under the Solution of Interconnect Crosstalk. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF middle shield insertion, crosstalk, inductive coupling
44Ketan N. Patel, Igor L. Markov Error-correction and crosstalk avoidance in DSM busses. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF DSM busses, error-correction, crosstalk noise, bus encoding
44Kazuya Shimizu, Masaya Takamura, Takanori Shirai, Noriyoshi Itazaki, Kozo Kinoshita Fault Simulation Method for Crosstalk Faults in Clock-Delayed Domino CMOS Circuits. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Clock-delayed domino circuit, Fault simulation, crosstalk fault
44Murat R. Becer, Ibrahim N. Hajj An Analytical Model for Delay and Crosstalk Estimation with Application to Decoupling. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF delay, analytical model, decoupling, Crosstalk noise
44Noriyoshi Itazaki, Yasutaka Idomoto, Kozo Kinoshita An Algorithmic Test Generation Method for Crosstalk Faults in Synchronous Sequential Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF test generation, synchronous sequential circuit, Crosstalk fault
41Eitan Sayag, Amir Leshem, Nikos D. Sidiropoulos Finite Word Length Effects on Transmission Rate in Zero Forcing Linear Precoding for Multichannel DSL. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
41Koustav Bhattacharya, Nagarajan Ranganathan A unified gate sizing formulation for optimizing soft error rate, cross-talk noise and power under process variations. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
41Eisse Mensink, Daniël Schinkel, Eric A. M. Klumperink, Ed van Tuijl, Bram Nauta Optimal Positions of Twists in Global On-Chip Differential Interconnects. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Yuui Shimizu, Hisanori Aikawa, Keiji Hosotani, Naoharu Shimomura, Tadashi Kai, Yoshihiro Ueda, Yoshiaki Asao, Yoshihisa Iwata, Kenji Tsuchida, Sumio Ikegawa MRAM Write Error Categorization with QCKB. Search on Bibsonomy MTDT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Zhongqiang Huang, Mary P. Harper Speech Activity Detection on Multichannels of Meeting Recordings. Search on Bibsonomy MLMI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40Xiaohong Jiang 0001, Achille Pattavina, Susumu Horiguchi Strictly nonblocking f-cast photonic networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF f-cast, strictly nonblocking, multicast, crosstalk, banyan networks, photonic switches
40Yuanyuan Yang 0001, Jianchao Wang Optimal All-to-All Personalized Exchange in a Class of Optical Multistage Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF semipermutation, Optical networks, permutation, crosstalk, multistage networks, all-to-all communication, Latin square, all-to-all personalized exchange
37Hatem M. El-Boghdadi Crosstalk-free mapping of two-dimensional weak tori on optical slab waveguides. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
37Alodeep Sanyal, Abhisek Pan, Sandip Kundu A study on impact of loading effect on capacitive crosstalk noise. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
37Chaeho Chung, Soobum Lee, Byung Man Kwak, Gawon Kim, Joungho Kim A Delay Line Circuit Design for Crosstalk Minimization Using Genetic Algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
37Yang Wang 0014, Hung Q. Ngo 0001, Xiaohong Jiang 0001 Strictly Nonblocking Function-Cast d-Ary Multi-Log Networks under Fanout and Crosstalk Constraints. Search on Bibsonomy ICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
37Hung Q. Ngo 0001, Yang Wang 0014, Anh Le A Linear Programming Duality Approach to Analyzing Strictly Nonblocking d-ary Multilog Networks under General Crosstalk Constraints. Search on Bibsonomy COCOON The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
37Tianpei Zhang, Sachin S. Sapatnekar Simultaneous Shield and Buffer Insertion for Crosstalk Noise Reduction in Global Routing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Wen-Wen Hsieh, Po-Yuan Chen, Chun-Yao Wang, TingTing Hwang A Bus-Encoding Scheme for Crosstalk Elimination in High-Performance Processor Design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Harsha I. K. Rao, V. John Mathews, Young-Cheol Park A Minimax Approach for the Joint Design of Acoustic Crosstalk Cancellation Filters. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37J. V. R. Ravindra, Srinivas Bala Mandalika Modeling and analysis of crosstalk for distributed RLC interconnects using difference model approach. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RC, distributed RLC, interconnect, SPICE, circuit, RL
37Madhu Mutyam Selective shielding: a crosstalk-free bus encoding technique. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Mosin Mondal, Sami Kirolos, Yehia Massoud Estimation of Capacitive Crosstalk-Induced Short-Circuit Energy. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Junmou Zhang, Eby G. Friedman Crosstalk modeling for coupled RLC interconnects with application to shield insertion. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Sachin Shrivastava, Rajendra Pratap, Harindranath Parameswaran, Manuj Verma Crosstalk analysis using reconvergence correlation. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Andrew B. Kahng, Bao Liu 0001, Xu Xu 0001 Statistical gate delay calculation with crosstalk alignment consideration. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Po-Hao Chang, Jia-Ming Chen, Chao-Ying Shen On an Efficient Closed Form Expression to Estimate the Crosstalk Noise in the Circuit with Multiple Wires. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Jinjun Xiong, Lei He 0001 Extended global routing with RLC crosstalk constraints. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Are Hjørungnes, Marcello L. R. de Campos, Paulo S. R. Diniz Jointly optimized transmitter and receiver FIR MIMO filters in the presence of near-end crosstalk. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Stuart N. Wrigley, Guy J. Brown, Vincent Wan, Steve Renals Speech and crosstalk detection in multichannel audio. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Tong Jing, Ling Zhang, Jinghong Liang, Jingyu Xu, Xianlong Hong, Jinjun Xiong, Lei He 0001 A Min-area Solution to Performance and RLC Crosstalk Driven Global Routing Problem. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Ming Shae Wu, Chung-Len Lee, Yeong-Jar Chang, Wen Ching Wu Crosstalk Fault Detection for Interconnection Lines Based on Path Delay Inertia Principle. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 2317 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license