The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for fanout with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1986 (15) 1987-1991 (19) 1992-1993 (15) 1994-1995 (15) 1996-1998 (32) 1999 (17) 2000-2001 (24) 2002 (18) 2003-2004 (35) 2005 (18) 2006 (16) 2007 (15) 2008-2009 (20) 2010-2017 (15) 2018-2023 (18) 2024 (2)
Publication types (Num. hits)
article(96) inproceedings(198)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 300 occurrences of 223 keywords

Results
Found 294 publication records. Showing 294 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Evrim Guler, Danyang Zheng, Guangchun Luo, Ling Tian, Xiaojun Cao Embedding Multicast Services in Optical Networks with Fanout Limitation. Search on Bibsonomy ICC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
23Naveen Katam, Alireza Shafaei, Massoud Pedram Design of multiple fanout clock distribution network for rapid single flux quantum technology. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
23Shivangi Surati, Devesh C. Jinwala, Sanjay Garg Evaluating the impact of fanout and dimension on the performance of a hybrid model for multidimensional indexing in peer-to-peer m-ary tree network. Search on Bibsonomy Int. J. Commun. Networks Distributed Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
23Krzysztof Walkowiak, Róza Goscien, Massimo Tornatore, Michal Wozniak 0001 Impact of fanout and transmission reach on performance of multicasting in Elastic Optical Networks. Search on Bibsonomy OFC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
23Mehrdad Najibi, Peter A. Beerel Integrated Fanout Optimization and Slack Matching of Asynchronous Circuits. Search on Bibsonomy ASYNC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
23Siddhartha 0001, Nachiket Kapre Fanout decomposition dataflow optimizations for FPGA-based Sparse LU factorization. Search on Bibsonomy FPT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
23Kyungmin Kim, Seokhwan Kong, Jaiyong Lee Fanout Set Partition Scheme for QoS-Guaranteed Multicast Transmission. Search on Bibsonomy IEICE Trans. Commun. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Kyungmin Kim, Jaiyong Lee Topology-aware fanout set division scheme for QoS-guaranteed multicast transmission. Search on Bibsonomy J. Commun. Networks The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Samuel N. Pagliarini, Tian Ban, Lirida A. B. Naviner, Jean-François Naviner Reliability assessment of combinational logic using first-order-only fanout reconvergence analysis. Search on Bibsonomy MWSCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Tiago Reimann, Gracieli Posser, Guilherme Flach, Marcelo O. Johann, Ricardo Reis 0001 Simultaneous gate sizing and Vt assignment using Fanin/Fanout ratio and Simulated Annealing. Search on Bibsonomy ISCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Jonathan Lifflander, Phil Miller, Laxmikant V. Kalé Adoption protocols for fanout-optimal fault-tolerant termination detection. Search on Bibsonomy PPoPP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Alessandro Murgia, Roberto Tonelli, Steve Counsell, Giulio Concas, Michele Marchesi An Empirical Study of Refactoring in the Context of FanIn and FanOut Coupling. Search on Bibsonomy WCRE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Xun Chen, Jianwen Zhu, Minxuan Zhang Timing-Driven Routing of High Fanout Nets. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Daniel Bernhard Fasnacht, Giacomo Indiveri A PCI based high-fanout AER mapper with 2 GiB RAM look-up table, 0.8 µs latency and 66MHz output event-rate. Search on Bibsonomy CISS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Abhijit R. Asati, Subhendu Kumar Sahoo, Chandra Shekhar 0001 Selection of Optimum Device Size and Trans-Conductance Ratio for High Speed Digital CMOS Inverter Design for a Given Fanout Load. Search on Bibsonomy ICETET The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Cedric Fortuny, Olivier Brun, Jean-Marie Garcia Fanout Inference from Link Count. Search on Bibsonomy ECUMN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23M. Fang, Stephen A. Fenner, Frederic Green, Steven Homer, Yong Zhang 0053 Quantum lower bounds for fanout. Search on Bibsonomy Quantum Inf. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Koji Yamazaki, Yuzo Takamatsu Fanout-based fault diagnosis for open faults on pass/fail information. Search on Bibsonomy ATS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Keshab K. Parhi Eliminating the fanout bottleneck in parallel long BCH encoders. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Keshab K. Parhi Eliminating the fanout bottleneck in parallel long BCH encoders. Search on Bibsonomy ICC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Anand Pappu, Alyssa B. Apsel Electrical isolation and fanout in intra-chip optical interconnects. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
23Frederic Green, Steven Homer, Cristopher Moore, Christopher Pollett Counting, fanout and the complexity of quantum ACC. Search on Bibsonomy Quantum Inf. Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Geun Rae Cho, Tom Chen 0001 On the Impact of Fanout Optimization and Redundant Buffer Removal for Mixed PTL Synthesis. Search on Bibsonomy IWLS The full citation details ... 2002 DBLP  BibTeX  RDF
23Tomás Feder Fanout limitations on constraint systems. Search on Bibsonomy Theor. Comput. Sci. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Wei Chen, Cheng-Ta Hsieh, Massoud Pedram Simultaneous Gate Sizing and Fanout Optimization. Search on Bibsonomy ICCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Cristopher Moore Quantum Circuits: Fanout, Parity, and Counting Search on Bibsonomy Electron. Colloquium Comput. Complex. The full citation details ... 1999 DBLP  BibTeX  RDF
23Peyman Rezvani, Amir H. Ajami, Massoud Pedram, Hamid Savoj LEOPARD: a Logical Effort-based fanout OPtimizer for ARea and Delay. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Pasquale Cocchini, Massoud Pedram, Gianluca Piccinini, Maurizio Zamboni Fanout optimization under a submicron transistor-level delay model. Search on Bibsonomy ICCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23Amir H. Salek, Jinan Lou, Massoud Pedram A simultaneous routing tree construction and fanout optimization algorithm. Search on Bibsonomy ICCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23Y. L. Jae, Chong Kwan Un Performance Analysis of Two Fanout Splitting Schemes for a Multicast Packet Switch With Capacity M. Search on Bibsonomy Perform. Evaluation The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Chun-Fai Chan, Chin-Tau Lea Constructing nonblocking multicast switching networks with fanout reduction. Search on Bibsonomy Advances in Switching Networks The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Chuan-Heng Ang, Hanan Samet Approximate Average Storage Utilization of Bucket Methods with Arbitrary Fanout. Search on Bibsonomy Nord. J. Comput. The full citation details ... 1996 DBLP  BibTeX  RDF
23T. Aoki, Masami Murakata, Takashi Mitsuhashi, Nobuyuki Goto Fanout-tree restructuring algorithm for post-placement timing optimization. Search on Bibsonomy ASP-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
23Antonio Lioy On the Equivalence of Fanout-Point Faults. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23K. Kodandapani, Joel Grodstein, Antun Domic, Hervé J. Touati A simple algorithm for fanout optimization using high-performance buffer libraries. Search on Bibsonomy ICCAD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23Hirendu Vaishnav, Massoud Pedram Routability-Driven Fanout Optimization. Search on Bibsonomy DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23Yehoshua Sagiv, Yatin P. Saraiya Minimizing Restricted-Fanout Queries. Search on Bibsonomy Discret. Appl. Math. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
23Xinli Gu, Krzysztof Kuchcinski, Zebo Peng Testability measure with reconvergent fanout analysis and its applications. Search on Bibsonomy Microprocessing and Microprogramming The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
23Shen Lin 0001, Malgorzata Marek-Sadowska A fast and efficient algorithm for determining fanout trees in large networks. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
23Pramod Koparkar Parametric blending using fanout surfaces. Search on Bibsonomy Symposium on Solid Modeling and Applications The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
23Hyoung B. Min, William A. Rogers, Hwei-Tsu Ann Luh FANHAT: fanout oriented hierarchical automatic test generation system. Search on Bibsonomy ICCAD The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
23Fadi Maamari, Janusz Rajski A reconvergent fanout analysis for efficient exact fault simulation of combinational circuits. Search on Bibsonomy FTCS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
23Ki Soo Hwang, M. Ray Mercer Informed Test Generation Guidance Using Partially Specified Fanout Constraints. Search on Bibsonomy ITC The full citation details ... 1986 DBLP  BibTeX  RDF
23Edward A. Bender The Number of Fanout-Free Functions with Various Gates. Search on Bibsonomy J. ACM The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
23George Markowsky Diagnosing Single Faults in Fanout-Free Combinational Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF
23Jon T. Butler Analysis and Design of Fanout-Free Networks of Positive Symmetric Gates. Search on Bibsonomy J. ACM The full citation details ... 1978 DBLP  DOI  BibTeX  RDF
23John P. Hayes The Fanout Structure of Switching Functions. Search on Bibsonomy J. ACM The full citation details ... 1975 DBLP  DOI  BibTeX  RDF
23John P. Hayes Minimization of Fanout in Switching Networks Search on Bibsonomy SWAT The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
23Israel Berger, Zvi Kohavi Fault Detection in Fanout-Free Combinational Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
19Anand Agarawala, Ravin Balakrishnan Keepin' it real: pushing the desktop metaphor with physics, piles and the pen. Search on Bibsonomy CHI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Lasso'n'Cross, LassoMenu, bumptop, crumple, physics based desktop, pile widgets, piles, piling metaphor, pen-based interfaces, physical interaction, fanout, desktop metaphor
19Wen Ching Wu, Chung-Len Lee 0001, Ming Shae Wu, Jwu E. Chen, Magdy S. Abadir Oscillation Ring Delay Test for High Performance Microprocessors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF oscillation ring testing, sensitized path, robust path dealy fault, hazard-free path delay fault, multiple reconvergent fanout, flunk lines, stuck at fault, delay fault testing, gate delay fault
19Jason Cong, Patrick H. Madden Performance Driven Multi-Layer General Area Routing for PCB/MCM Designs. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
19Hsiao-Ping Tseng, Louis Scheffer, Carl Sechen Timing and Crosstalk Driven Area Routing. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
19John Lillis, Premal Buch Table-Lookup Methods for Improved Performance-Driven Routing. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
19Bogdan G. Arsintescu, Edoardo Charbon, Enrico Malavasi, Umakanta Choudhury, William H. Kao General AC Constraint Transformation for Analog ICs. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
19Charles J. Alpert, Anirudh Devgan, Stephen T. Quay Buffer Insertion for Noise and Delay Optimization. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
19Hai Zhou 0001, D. F. Wong 0001 Global Routing with Crosstalk Constraints. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
19Arun N. Lokanathan, Jay B. Brockman Process Multi-Circuit Optimization. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
19Oliver Chiu-sing Choy, Lap-kong Chan, Ray Chan, Cheong-Fat Chan Test Generation with Dynamic Probe Points in High Observability Testing Environment. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF critical path tracing method, E-beam testing, fanout free combinational circuit, wafer stage testing, Automatic test pattern generation
19Tapan J. Chakraborty, Vishwani D. Agrawal Design for high-speed testability of stuck-at faults. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF design for high-speed testability, stuck-at fault detection, signal transition, timing hazard, multivalue algebra, dh-robust test, sequential feedback, reconvergent fanout, cycle-free sequential circuit, fault diagnosis, logic testing, delays, design for testability, logic design, sequential circuits, partial scan, test generation algorithm, critical path delay
19Pak K. Chan, Martine D. F. Schlag, Clark D. Thomborson, Vojin G. Oklobdzija Delay Optimization of Carry-Skip Adders and Block Carry-Lookahead Adders Using Multidimensional Dynamic Programming. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF delay optimisation, block carry-lookahead adders, multidimensional dynamic programming, worst-case carry propagation delays, minimum latency, fanin, dynamic programming, digital arithmetic, adders, gate delays, carry logic, fanout, critical path delay, carry-skip adders
19Irith Pomeranz, Zvi Kohavi Polynomial Complexity Algorithms for Increasing the Testability of Digital Circuits by Testing Module Insertion. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF polynomial complexity algorithms, testing-module insertion, test set merging, fanout free circuits, computational complexity, logic testing, partitioning, combinational circuits, logic CAD, testability, digital circuits, combinatorial circuits, single stuck-at faults, test generation algorithm, placement algorithm
19Vinod K. Agarwal, Gerald M. Masson Recursive Coverage Projection of Test Sets. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF recursive projection, internal fanout-free networks, Consistency, fault detection, coverage, multiple faults
19Israel Koren, Zvi Kohavi On the Properties of Sensitized Paths. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF unsensitized propagating line, Backward tracing, reconvergent fanout line, sensitized path, combinational network
12Hua Xiang 0001, Haoxing Ren, Louise Trevillyan, Lakshmi N. Reddy, Ruchir Puri, Minsik Cho Logical and physical restructuring of fan-in trees. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF symmetric-function fan-in tree, restructure, commutative
12Xiangye Xiao, Qiong Luo 0001, Dan Hong, Hongbo Fu 0001, Xing Xie 0001, Wei-Ying Ma Browsing on small displays by transforming Web pages into hierarchically structured subpages. Search on Bibsonomy ACM Trans. Web The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Web page adaptation, slicing tree, Proxy, Web browsing, small displays, thumbnails
12Martín Casado, Michael J. Freedman, Justin Pettit, Jianying Luo, Natasha Gude, Nick McKeown, Scott Shenker Rethinking enterprise network control. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF security, architecture, network, management
12Liang-Kai Wang, Michael J. Schulte, John D. Thompson, Nandini Jairam Hardware Designs for Decimal Floating-Point Addition and Related Operations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Xiao-dong Sun, Hong-Bin Zhang A Fast Hole-filling Strategy of 3D Scanned Human Body. Search on Bibsonomy CGIV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Davide Frey, Rachid Guerraoui, Anne-Marie Kermarrec, Boris Koldehofe, Martin Mogensen, Maxime Monod, Vivien Quéma Heterogeneous Gossip. Search on Bibsonomy Middleware The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Feng Yuan, Qiang Xu 0001 On systematic illegal state identification for pseudo-functional testing. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF illegal states, pseudo-functional testing
12Nikhil Saluja, Kanupriya Gulati, Sunil P. Khatri SAT-based ATPG using multilevel compatible don't-cares. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Boolean satisfiabilty (SAT), testing, Automatic test pattern generation (ATPG), don't cares
12Smita Krishnaswamy, George F. Viamontes, Igor L. Markov, John P. Hayes Probabilistic transfer matrices in symbolic reliability analysis of logic circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fault tolerance, Symbolic analysis
12Can Emre Koksal On the Speedup Required to Achieve 100% Throughput for Multicast Over Crossbar Switches. Search on Bibsonomy IWQoS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Ramakrishna Garudadri, Krishna Kant 0002 A Hybrid Resource Information Dissemination Protocol for dynamic Grids. Search on Bibsonomy ICON The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Xiaopeng Fan, Jiannong Cao 0001, Weigang Wu, Michel Raynal On Modeling Fault Tolerance of Gossip-Based Reliable Multicast Protocols. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Irith Pomeranz, Sudhakar M. Reddy Design-for-Testability for Improved Path Delay Fault Coverage of Critical Paths. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Jiro Inoue, A. James Stewart Multiresolution sphere packing tree: a hierarchical multiresolution 3D data structure. Search on Bibsonomy Symposium on Solid and Physical Modeling The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MSP-tree, sphere packing, multiresolution, selective refinement
12Panfeng Zhou, Betty Salzberg The hB-pi* Tree: An Optimized Comprehensive Access Method for Frequent-Update Multi-dimensional Point Data. Search on Bibsonomy SSDBM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hB-pi* tree, Empty space, Multi-dimension access method
12Wang-Dauh Tseng Generation of Primary Input Blocking Pattern for Power Minimization during Scan Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF transition density, switching activity during test, clique, low power testing, full scan
12Zeljko Zilic, Katarzyna Radecka, Ali Kazamiphur Reversible circuit technology mapping from non-reversible specifications. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Nikhil Jayakumar, Sunil P. Khatri An algorithm to minimize leakage through simultaneous input vector control and circuit modification. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Raimund Ubar, Sergei Devadze, Jaan Raik, Artur Jutman Ultra Fast Parallel Fault Analysis on Structurally Synthesized BDDs. Search on Bibsonomy ETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Myeong-Eun Hwang, Seong-Ook Jung, Kaushik Roy 0001 Slope interconnect effort: gate-interconnect interdependentdelay model for CMOS logic gates. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF signal slope, interconnect, gate delay, subthreshold operation
12Hugo Cheung, Sandeep K. Gupta Accurate modeling and fault simulation of Byzantine resistive bridges. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Sheng Sun, Carl Sechen Post-layout comparison of high performance 64b static adders in energy-delay space. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Kambiz Rahimi Minimizing peak power in synchronous logic circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power optimization, peak power, clock scheduling
12Youngsoo Shin, Hyung-Ock Kim Cell-Based Semicustom Design of Zigzag Power Gating Circuits. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Guy Even, Tamir Levi, Ami Litman Optimal Conclusive Sets for Comparator Networks. Search on Bibsonomy SIROCCO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Zero-One Principle, Merging Networks, Sorting Networks, Comparator Networks, Bitonic Sorting
12Ravikishore Gandikota, Kaviraj Chopra, David T. Blaauw, Dennis Sylvester, Murat R. Becer Top-k Aggressors Sets in Delay Noise Analysis. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Audhild Vaaje Theorems for Fault Collapsing in Combinational Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Boolean function, combinational circuit, monotonic function, fault collapsing
12Chi-Shong Wang, Chingwei Yeh Performance-driven technology mapping with MSG partition and selective gate duplication. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate duplication, maximal super-gate, super-gate, dynamic programming, partition, matching, logic synthesis, directed acyclic graph, Technology mapping, covering
12Aaron Smith, Ramadass Nagarajan, Karthikeyan Sankaralingam, Robert G. McDonald, Doug Burger, Stephen W. Keckler, Kathryn S. McKinley Dataflow Predication. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Jun Wang, Carl Tropper Compiled code in distributed logic simulation. Search on Bibsonomy WSC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Jeroen A. J. Leijten, Menno Lindwer Multiprocessing Template for Media Applications. Search on Bibsonomy ISM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Hosung (Leo) Kim, John Lillis, Milos Hrkic Techniques for improved placement-coupled logic replication. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF placement, timing optimization, programmable logic, logic replication
12Avijit Dutta, Nur A. Touba Synthesis of Efficient Linear Test Pattern Generators. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Miguel Eduardo Litvin, Samiha Mourad Self-reset logic for fast arithmetic applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Giorgos Dimitrakopoulos, Dimitris Nikolos High-Speed Parallel-Prefix VLSI Ling Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF parallel-prefix carry computation, computer arithmetic, VLSI design, Adders
12Saravanan Padmanaban, Spyros Tragoudas Efficient identification of (critical) testable path delay faults using decision diagrams. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 294 (100 per page; Change: )
Pages: [<<][1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license