The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for floorplan with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1989 (24) 1990-1992 (19) 1993-1994 (17) 1995-1996 (17) 1997-1998 (19) 1999 (16) 2000 (18) 2001 (16) 2002 (25) 2003 (27) 2004 (37) 2005 (39) 2006 (47) 2007 (44) 2008 (31) 2009 (27) 2010-2012 (18) 2013-2015 (23) 2016 (16) 2017-2018 (17) 2019-2020 (23) 2021-2022 (27) 2023 (21) 2024 (5)
Publication types (Num. hits)
article(180) incollection(10) inproceedings(381) phdthesis(2)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 322 occurrences of 191 keywords

Results
Found 573 publication records. Showing 573 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
29Chen Li 0004, Cheng-Kok Koh, Patrick H. Madden Floorplan management: incremental placement for gate sizing and buffer insertion. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Evangeline F. Y. Young, Chris C. N. Chu, M. L. Ho Placement constraints in floorplan design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Chris C. N. Chu, Evangeline F. Y. Young Nonrectangular shaping and sizing of soft modules for floorplan-design improvement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Thomas Brandtner, Robert Weigel SubCALM: A Program for Hierarchical Substrate Coupling Simulation on Floorplan Level. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Johan Berntsson, Maolin Tang A Slicing Structure Representation for the Multi-layer Floorplan Layout Problem. Search on Bibsonomy EvoWorkshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Kun Gao, Dinesh P. Mehta Floorplan Classification Algorithms. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Niwat Waropus, Rajendar Koltur, Malgorzata Chrzanowska-Jeske Graph-based approach to evaluate net routability of a floorplan. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Evangeline F. Y. Young, Chris C. N. Chu, M. L. Ho A Unified Method to Handle Different Kinds of Placement Constraints in Floorplan Design. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF placement constraint, physical design, floorplanning
29Koji Ohashi, Mineo Kaneko, Satoshi Tayu Assignment-Space Exploration Approach to Concurrent Data-Path/Floorplan Synthesis. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Pinghong Chen, Ernest S. Kuh Floorplan sizing by linear programming approximation. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Jason Cong, Tianming Kong, Dongmin Xu, Faming Liang, Jun S. Liu, Wing Hung Wong Relaxed Simulated Tempering for VLSI Floorplan Designs. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Temo Chen, Michael K. H. Fan On convex formulation of the floorplan area minimization problem. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29Maurizio Rebaudengo, Matteo Sonza Reorda GALLO: a genetic algorithm for floorplan area optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
29Ting-Chi Wang, Martin D. F. Wong Optimal floorplan area optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
29Suphachai Sutanthavibul, Eugene Shragowitz, J. Ben Rosen An analytical approach to floorplan design and optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
29Suphachai Sutanthavibul, Eugene Shragowitz, J. Ben Rosen An Analytical Approach to Floorplan Design and Optimization. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
29Ting-Chi Wang, D. F. Wong 0001 An Optimal Algorithm for Floorplan Area Optimization. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
29E. F. M. Kouka, Gabriele Saucier An Application of Exploratory Data Analysis Techniques to Floorplan Design. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
29D. F. Wong 0001, C. L. Liu 0001 A new algorithm for floorplan design. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
21Debasri Saha, Susmita Sur-Kolay Encoding of Floorplans through Deterministic Perturbation. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Jing Liu 0006, Weicai Zhong, Licheng Jiao, Xue Li 0001 Moving Block Sequence and Organizational Evolutionary Algorithm for General Floorplanning With Arbitrarily Shaped Rectilinear Blocks. Search on Bibsonomy IEEE Trans. Evol. Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Chiu-Wing Sham, Evangeline F. Y. Young, Hai Zhou 0001 Optimizing wirelength and routability by searching alternative packings in floorplanning. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF wirelength reduction, Floorplanning
21Tilen Ma, Evangeline F. Y. Young TCG-based multi-bend bus driven floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Renshen Wang, Evangeline F. Y. Young, Yi Zhu 0002, Fan Chung Graham, Ronald L. Graham, Chung-Kuan Cheng 3-D floorplanning using labeled tree and dual sequences. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 3-D packing, sequence, labeled tree
21Dae Hyun Kim 0004, Sung Kyu Lim Global bus route optimization with application to microarchitectural design exploration. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Changyun Zhu, Zhenyu (Peter) Gu, Robert P. Dick, Li Shang Reliable multiprocessor system-on-chip synthesis. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF synthesis, multiprocessor system-on-chip, thermal
21Reinaldo A. Bergamaschi, Indira Nair, Gero Dittmann, Hiren D. Patel, Geert Janssen, Nagu R. Dhanwada, Alper Buyuktosunoglu, Emrah Acar, Gi-Joon Nam, Dorothy Kucar, Pradip Bose, John A. Darringer, Guoling Han Performance modeling for early analysis of multi-core systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF early analysis, multi-core systems modeling, physical analysis, performance, power analysis, transaction-level modeling
21Lingyi Zhang, Sheqin Dong, Xianlong Hong, Yuchun Ma A Fast 3D-BSG Algorithm for 3D Packing Problem. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Pritha Banerjee 0001, Susmita Sur-Kolay, Arijit Bishnu Floorplanning in Modern FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Francisco J. Mesa-Martinez, Joseph Nayfach-Battilana, Jose Renau Power model validation through thermal measurements. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power and thermal measurements
21Dongku Kang, Hunsoo Choo, Khurram Muhammad, Kaushik Roy 0001 Layout-driven architecture synthesis for high-speed digital filters. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Love Singhal, Elaheh Bozorgzadeh Multi-layer Floorplanning on a Sequence of Reconfigurable Designs. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Alastair M. Smith, George A. Constantinides, Peter Y. K. Cheung A Novel Heuristic and Provable Bounds for Reconfigurable Architecture Design. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Pilok Lim, Taewhan Kim Thermal-aware high-level synthesis based on network flow method. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF power consumption, temperature, binding
21Alastair M. Smith, George A. Constantinides, Peter Y. K. Cheung A Novel Hueristic and Provable Bounds for Reconfigurable Architecture Design. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Krishnan Srinivasan, Karam S. Chatha A Methodology for Layout Aware Design and Optimization of Custom Network-on-Chip Architectures. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Jin-Tai Yan, Zhi-Wei Chen, Chia-Wei Wu, Ming-Yuen Wu Optimal Network Analysis in Hierarchical Power Quad-Grids. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Song Chen 0001, Xianlong Hong, Sheqin Dong, Yuchun Ma, Chung-Kuan Cheng Floorplanning with Consideration of White Space Resource Distribution for Repeater Planning. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Aishwarya Dubey P/G Pad Placement Optimization: Problem Forumulation for Best IR Drop. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF P/G (Power/Ground) pad placement, current sink, package resistance, package inductance, IR drop
21Meng-Chiou Wu, Rung-Bin Lin Reticle Floorplanning and Wafer Dicing for Multiple Project Wafers. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Guowu Yang, Xiaoyu Song, Hannah Honghua Yang, Fei Xie A Theoretical Upper Bound for IP-Based Floorplanning. Search on Bibsonomy COCOON The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Jai-Ming Lin, Yao-Wen Chang TCG-S: orthogonal coupling of P*-admissible representations for general floorplans. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Yi-Hui Cheng, Yao-Wen Chang Integrating buffer planning with floorplanning for simultaneous multi-objective optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Ning Fu, Shigetoshi Nakatake, Yasuhiro Takashima, Yoji Kajitani Abstraction and optimization of consistent floorplanning with pillar block constraints. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Jason Cong, Jie Wei, Yan Zhang A thermal-driven floorplanning algorithm for 3D ICs. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Changbo Long, Lucanus J. Simonson, Weiping Liao, Lei He 0001 Floorplanning optimization with trajectory piecewise-linear model for pipelined interconnects. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF piecewise-linear, performance, pipeline, interconnect, floorplanning
21Chiu-Wing Sham, Evangeline F. Y. Young Routability-driven floorplanner with buffer block planning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Jae-Gon Kim, Yeong-Dae Kim A linear programming-based algorithm for floorplanning in VLSI design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Steve T. W. Lai, Evangeline F. Y. Young, Chris C. N. Chu A New and Efficient Congestion Evaluation Model in Floorplanning: Wire Density Control with Twin Binary Trees. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Martijn T. Bennebroek Validation of wire length distribution models on commercial designs. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Malgorzata Chrzanowska-Jeske, Benyi Wang, Garrison W. Greenwood Floorplanning with performance-based clustering. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Jyh Perng Fang, Sao-Jie Chen Tile-graph-based power planning. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Stelian Alupoaei, Srinivas Katkoori Net-based force-directed macrocell placement for wirelength optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Faran Rafiq, Malgorzata Chrzanowska-Jeske, Hannah Honghua Yang, Naveed A. Sherwani Integrated floorplanning with buffer/channel insertion for bus-based microprocessor designs. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF floorplanning, routability, interconnect estimation
21Chiu-Wing Sham, Evangeline F. Y. Young Routability driven floorplanner with buffer block planning. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Stephen E. Krufka, Phillip Christie Terminal optimization analysis for functional block re-use. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF optimization, SoC, interconnect, Rent's rule
21Jai-Ming Lin, Yao-Wen Chang TCG-S: orthogonal coupling of P*-admissible representations for general floorplans. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Pei-Ning Guo, Toshihiko Takahashi, Chung-Kuan Cheng, Takeshi Yoshimura Floorplanning using a tree representation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Swanwa Liao, Mario Alberto López, Dinesh P. Mehta Constrained polygon transformations for incremental floorplanning. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF floorplanning, incremental design, rectilinear polygons
21Israel Koren, Zahava Koren Incorporating Yield Enhancement into the Floorplanning Process. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF memory ICs, routing complexity, redundancy, microprocessor, Floorplanning, yield
21Kia Bazargan, Abhishek Ranjan, Majid Sarrafzadeh Fast and accurate estimation of floorplans in logic/high-level synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Vamsi K. Srikantam, N. Ranganathan, Srikanth Srinivasan 0002 CREAM: Combined Register and Module Assignment with Floorplanning for Low Power Datapath Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Register and Module Assignment Design for low power, High level synthesis, Low power design, Floorplanning
21Mehmet Aktuna, Rob A. Rutenbar, L. Richard Carley Device-level early floorplanning algorithms for RF circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Evangeline F. Y. Young, Martin D. F. Wong, Hannah Honghua Yang Slicing floorplans with boundary constraints. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Mehmet Aktuna, Rob A. Rutenbar, L. Richard Carley Device-level early floorplanning algorithms for RF circuits. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Kia Bazargan, Samjung Kim, Majid Sarrafzadeh Nostradamus: a floorplanner of uncertain design. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Jin-Tai Yan, Pei-Yung Hsiao Minimizing the number of switchboxes for region definition and ordering assignment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Peichen Pan, C. L. Liu 0001 Area minimization for floorplans. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Parthasarathi Dasgupta, Susmita Sur-Kolay, Bhargab B. Bhattacharya A unified approach to topology generation and area optimization of general floorplans. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF VLSI floorplanning, AND-OR graphs, placement, heuristic search
21J. T. Mowchenko, Y. Yang Optimizing wiring space in slicing floorplans. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF wiring space optimisation, slicing floorplans, net density, sibling rectangles, circuit modules, routed layouts, VLSI, heuristic, network routing, circuit layout CAD, circuit optimisation, integrated circuit layout, branch and bound algorithm, wiring, IC layout
21Kyunrak Chong, Sartaj Sahni Optimal realizations of floorplans [VLSI layout]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
21Chang-Sheng Ying, Joshua Sook-Leung Wong An analytical approach to floorplanning for hierarchical building blocks layout [VLSI]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
21Susmita Sur-Kolay, Bhargab B. Bhattacharya Inherent Nonslicibility of Rectangular Duals in VLSI Floorplanning. Search on Bibsonomy FSTTCS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF plane triangulated graphs, rectangular duals, slicing structures, algorithms, floorplanning, VLSI layout
21Wing K. Luk, Donald T. Tang, C. K. Wong Hierarchial global wiring for custom chip design. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
21Daniel Gebhardt, JunBok You, Kenneth S. Stevens Comparing Energy and Latency of Asynchronous and Synchronous NoCs for Embedded SoCs. Search on Bibsonomy NOCS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF network, CAD, SoC, topology, asynchronous, floorplan, router, EDA, NoC, GALS
21Vitor de Paulo, Cristinel Ababei A Framework for 2.5D NoC Exploration Using Homogeneous Networks over Heterogeneous Floorplans. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Homogeneous Network-on-Chip, heterogeneous floorplan, 3D circuits
21H. A. Donegan, G. E. Livesey, T. B. M. McMaster, G. J. McAleavy Axiomatic considerations of a rule based mechanism for the determination of a building's egress capability. Search on Bibsonomy Artif. Intell. Rev. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Building evacuation, Egress complexity, Entropy, Floorplan, Rooted tree
21Priya Sundararajan, Aman Gayasen, Narayanan Vijaykrishnan, Tim Tuan Thermal characterization and optimization in platform FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Virtex4, platform FPGAs, thermal floorplan, placement, temperature, thermal
21Matthew Moe, Herman Schmit Floorplanning of pipelined array modules using sequence pairs. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF pipelined array, floorplan, sequence pair
21Helvio P. Peixoto, Margarida F. Jacome, Ander Royo A Tight Area Upper Bound for Slicing Floorplans. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Early area estimation, slicing floorplan, system level design
21Sandip Das 0001, Susmita Sur-Kolay, Bhargab B. Bhattacharya Routing of L-Shaped Channels, Switchboxes and Staircases in Manhattan-Diagonal Model. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF nonslicible floorplan, switchbox, Manhattan-diagonal model, channel, VLSI routing
21Min Xu, Fadi J. Kurdahi Layout-driven RTL binding techniques for high-level synthesis using accurate estimators. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FPGAs, high-level synthesis, floorplan, binding
21Weiping Shi An optimal algorithm for area minimization of slicing floorplans. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF floorplan, area optimization, area minimization
21Jeffrey R. Horowitz, Alfonso F. Cardenas Decomposing Heterogeneous Inter-Entity Relationship Updates. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF heterogeneous inter-entity relationship, decomposing multilingual update requests, distributed heterogeneous databases, prototype views, network database, update decomposition, unified conceptual view, intermediate control language steps, information retrieval, relational databases, distributed databases, floorplan, joins, update rules
18Hanme Jang, Kiyun Yu, Jiyoung Kim Generating Spatial Knowledge Graphs with 2D Indoor Floorplan Data: A Case Study on the Jeonju Express Bus Terminal. Search on Bibsonomy ISPRS Int. J. Geo Inf. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Zu Lin Ewe, Fu-Hao Chang, Yi-Shiang Huang, Li-Chen Fu Spatial Graph-Based Localization and Navigation on Scaleless Floorplan. Search on Bibsonomy IEEE Robotics Autom. Lett. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Changan Chen, Rui Wang, Christoph Vogel, Marc Pollefeys F3Loc: Fusion and Filtering for Floorplan Localization. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Rohin Gupta, Sandeep Singh Gill A new representation in 3D VLSI floorplan: 3D O-Tree. Search on Bibsonomy Genet. Program. Evolvable Mach. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Ting-Chi Wang Pioneering Contributions of Professor Martin D. F. Wong to Automatic Floorplan Design. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Shidong Wang, Wei Zeng 0004, Xi Chen, Yu Ye 0002, Yu Qiao 0001, Chi-Wing Fu ActFloor-GAN: Activity-Guided Adversarial Networks for Human-Centric Floorplan Design. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Seongyong Kim, Yosuke Yajima, Jisoo Park, Jingdao Chen, Yong Kwon Cho A Hybrid Semantic-Geometric Approach for Clutter-Resistant Floorplan Generation from Building Point Clouds. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Shixin Chen, Shanyi Li, Zhen Zhuang, Su Zheng, Zheng Liang, Tsung-Yi Ho, Bei Yu 0001, Alberto L. Sangiovanni-Vincentelli Floorplet: Performance-aware Floorplan Framework for Chiplet Integration. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Delin Feng, Zhenpeng He, Jiawei Hou, Sören Schwertfeger, Liangjun Zhang FloorplanNet: Learning Topometric Floorplan Matching for Robot Localization. Search on Bibsonomy ICRA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Linfeng Du, Tingyuan Liang, Sharad Sinha, Zhiyao Xie, Wei Zhang 0012 FADO: Floorplan-Aware Directive Optimization for High-Level Synthesis Designs on Multi-Die FPGAs. Search on Bibsonomy FPGA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Vakhtang Janpoladov A Machine Learning-Based Post-Route PVT-Aware Power Prediction of Benchmark Circuits at Floorplan Stage of Physical Design. Search on Bibsonomy EWDTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Shixiong Kai, Chak-Wa Pui, Fangzhou Wang, Shougao Jiang, Bin Wang 0034, Yu Huang, Jianye Hao TOFU: A Two-Step Floorplan Refinement Framework for Whitespace Reduction. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Sándor Gazdag, Dániel Pasztornicky, Zsolt Jankó, Tamás Szirányi, András L. Majdik Collaborative Visual-Inertial Localization of Teams With Floorplan Extraction. Search on Bibsonomy ICASSP Workshops The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Anselmo Talotta, Valentin Radu, Lorenzo Sorgi Floorplan Generation from Noisy Point Cloud. Search on Bibsonomy GeoIndstry@SIGSPATIAL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Xin Liu, Egor Bondarev, Peter H. N. de With DL-based floorplan generation from noisy point clouds. Search on Bibsonomy 3DIA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Wenming Wu Architectural Floorplan Recognition via Iterative Semantic Segmentation Networks. Search on Bibsonomy CSAI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 573 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license