The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for instructions with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1963 (19) 1964-1968 (15) 1969-1974 (16) 1975-1978 (20) 1979-1981 (19) 1982-1984 (23) 1985-1987 (47) 1988 (35) 1989 (32) 1990 (46) 1991 (16) 1992 (36) 1993 (34) 1994 (48) 1995 (56) 1996 (71) 1997 (92) 1998 (82) 1999 (158) 2000 (181) 2001 (150) 2002 (223) 2003 (309) 2004 (334) 2005 (380) 2006 (410) 2007 (374) 2008 (347) 2009 (246) 2010 (87) 2011 (47) 2012 (54) 2013 (53) 2014 (56) 2015 (44) 2016 (70) 2017 (76) 2018 (110) 2019 (111) 2020 (118) 2021 (113) 2022 (138) 2023 (209) 2024 (53)
Publication types (Num. hits)
article(1234) data(1) incollection(7) inproceedings(3889) phdthesis(27)
Venues (Conferences, Journals, ...)
CoRR(291) MICRO(137) ISCA(128) IEEE Trans. Computers(75) DATE(70) HPCA(66) IPDPS(63) ICS(62) ASPLOS(59) IEEE PACT(58) ICCD(53) PLDI(53) Euro-Par(52) CASES(46) CGO(43) ASAP(42) More (+10 of total 1372)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4224 occurrences of 1958 keywords

Results
Found 5158 publication records. Showing 5158 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
30Shlomit S. Pinter, Adi Yoaz Tango: A Hardware-Based Data Prefetching Technique for Superscalar Processors. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF LRU mechanism, SPEC92 benchmark, Tango, base line architecture, hardware-based data prefetching technique, memory reference instructions, program progress graph, performance, parallel processing, instruction level parallelism, simulation results, superscalar processors, branch target buffer, instruction prefetching, hardware resources, slack time
29Hans Vandierendonck, André Seznec Fetch Gating Control through Speculative Instruction Window Weighting. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Woojin Choi, Seok-Jun Park, Michel Dubois 0001 Accurate Instruction Pre-scheduling in Dynamically Scheduled Processors. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Dongsoo Kang, Chen Liu 0001, Jean-Luc Gaudiot The Impact of Speculative Execution on SMT Processors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Speculation control, Simultaneous multithreading, Thread scheduling, Confidence estimator
29Yi-Ping You, Chung-Wen Huang, Jenq Kuen Lee Compilation for compact power-gating controls. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Compilers for low power, balanced scheduling, power-gating mechanisms, data-flow analysis, leakage-power reduction
29Stephen Roderick Hines, Gary S. Tyson, David B. Whalley Addressing instruction fetch bottlenecks by using an instruction register file. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF L0/filter cache, instruction packing, instruction register file
29Md. Mafijul Islam, Per Stenström Energy and Performance Trade-offs between Instruction Reuse and Trivial Computations for Embedded Applications. Search on Bibsonomy SIES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Hans Vandierendonck, André Seznec Fetch Gating Control Through Speculative Instruction Window Weighting. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Prabhu Rajamani, Jatan P. Shah, Vadhiraj Sankaranarayanan, Rama Sangireddy High performance and alleviated hot-spot problem in processor frontend with enhanced instruction fetch bandwidth utilization. Search on Bibsonomy IPCCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Jaume Abella 0001, Antonio González 0001 SAMIE-LSQ: set-associative multiple-instruction entry load/store queue. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Joseph J. Sharkey, Dmitry V. Ponomarev Non-uniform Instruction Scheduling. Search on Bibsonomy Euro-Par The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Yi-Ping You, Chung-Wen Huang, Jenq Kuen Lee A sink-n-hoist framework for leakage power reduction. Search on Bibsonomy EMSOFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF balanced scheduling, compilers for low power, power-gating mechanisms, data-flow analysis, leakage power reduction
29Fabrice Rastello, François de Ferrière, Christophe Guillon Optimizing Translation Out of SSA Using Renaming Constraints. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Adrián Cristal, Daniel Ortega, Josep Llosa, Mateo Valero Out-of-Order Commit Processors. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Rolf B. Hilgendorf, Wolfram Sauer Instruction translation for an experimental S/390 processor. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2001 DBLP  DOI  BibTeX  RDF IBM System/390
29Chen-Yong Cher, T. N. Vijaykumar Skipper: a microarchitecture for exploiting control-flow independence. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Region Compression: A New Scheme for Memory Energy Minimization in Embedded Systems. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Antonio González 0001, Jordi Tubella, Carlos Molina Trace-Level Reuse. Search on Bibsonomy ICPP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF data value reuse, instruction-level reuse, Instruction-level parallelism
29Kazuya Murao, Tsutomu Terada Evaluating Effect of Concreteness in Instructions for Gesture Recognition. Search on Bibsonomy ISWC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Gesture recognition, Instructions, Concreteness
29Lorna McKnight, Daniel Fitton Touch-screen technology for children: giving the right instructions and getting the right responses. Search on Bibsonomy IDC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CCI, usability, children, gestures, language, touch-screens, instructions
29Luca Chittaro, Roberto Ranon, Luca De Marco, Augusto Senerchia User Modeling of Disabled Persons for Generating Instructions to Medical First Responders. Search on Bibsonomy UMAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF personalized health services, disabled patients, adaptive instructions, user model, first responders
29Christian Kray, Christian Elting, Katri Laakso, Volker Coors Presenting route instructions on mobile devices. Search on Bibsonomy IUI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF route instructions, mobile devices, multimodal presentations, positional information
29Nahmsuk Oh, Subhasish Mitra, Edward J. McCluskey ED4I: Error Detection by Diverse Data and Duplicated Instructions. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Software implemented hardware fault tolerance (SIHFT), low cost fault tolerance, data diversity, duplicated instructions, concurrent error detection
29Arvind Krishnaswamy, Rajiv Gupta 0001 Profile guided selection of ARM and thumb instructions. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF 16/32 bit instructions, code size and speed, low power
27Ryad Benadjila, Olivier Billet, Shay Gueron, Matthew J. B. Robshaw The Intel AES Instructions Set and the SHA-3 Candidates. Search on Bibsonomy ASIACRYPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Francisco J. Jaime, Javier Hormigo, Julio Villalba, Emilio L. Zapata New SIMD instructions set for image processing applications enhancement. Search on Bibsonomy ICIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Carlos Morra, João M. P. Cardoso, Jürgen Becker 0001 Using Rewriting Logic to Match Patterns of Instructions from a Compiler Intermediate Form to Coarse-Grained Processing Elements. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Hanno Scharwächter, Jonghee M. Youn, Rainer Leupers, Yunheung Paek, Gerd Ascheid, Heinrich Meyr A code-generator generator for multi-output instructions. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ISS, code-selection, compiler/architecture co-design, ASIP
27Onur Mutlu, Hyesoon Kim, Jared Stark, Yale N. Patt On Reusing the Results of Pre-Executed Instructions in a Runahead Execution Processor. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Stephen Hines, Gary S. Tyson, David B. Whalley Reducing Instruction Fetch Cost by Packing Instructions into RegisterWindows. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Luigi Di Stefano, Stefano Mattoccia, Federico Tombari Speeding-up NCC-Based Template Matching Using Parallel Multimedia Instructions. Search on Bibsonomy CAMP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Kikuo Asai, Hideaki Kobayashi, Tomotsugu Kondo Augmented Instructions - A Fusion of Augmented Reality and Printed Learning Materials. Search on Bibsonomy ICALT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Stephen Hines, Joshua Green, Gary S. Tyson, David B. Whalley Improving Program Efficiency by Packing Instructions into Registers. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Jie S. Hu, Narayanan Vijaykrishnan, Soontae Kim, Mahmut T. Kandemir, Mary Jane Irwin Scheduling Reusable Instructions for Power Reduction. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Shiliang Hu, James E. Smith 0001 Using Dynamic Binary Translation to Fuse Dependent Instructions. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Sami Yehia, Olivier Temam From Sequences of Dependent Instructions to Functions: An Approach for Improving Performance without ILP or Speculation. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Jae Sung Lee, Myung Hoon Sunwoo Design of New DSP Instructions and Their Hardware Architecture for High-Speed FFT. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF application specific digital signal processor, DMT, fast Fourier transform, OFDM
27Warren Cheung, William S. Evans, Jeremy Moses Predicated Instructions for Code Compaction. Search on Bibsonomy SCOPES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Tali Moreshet, R. Iris Bahar Power-aware issue queue design for speculative instructions. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low power design, microarchitecture, speculation
27Sunghyun Jee, Kannappan Palaniappan Dynamically Scheduling VLIW Instructions with Dependency Information. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2002 DBLP  DOI  BibTeX  RDF DISVLIW, VLIW, Dynamic Scheduling, Processor Architecture, ILP
27Russell S. Blue, Jeff Wampler, G. Bowden Wise, Louis J. Hoebel, Boris Yamrom, Christopher R. Volpe, Bruce Wilde, Pascale Rondot, Ann E. Kelley Sobel, Anne Gilman, Wesley Turner, Steve Linthicum, George Ryon An automated approach and virtual environment for generating maintenance instructions. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2002 DBLP  DOI  BibTeX  RDF maintainability analysis, maintenance manual development, XML, virtual environments, haptics, natural language, human-centered design, text generation
27Rainer Leupers Code Selection for Media Processors with SIMD Instructions. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Zhenyu Qian A Formal Specification of Java Virtual Machine Instructions for Objects, Methods and Subrountines. Search on Bibsonomy Formal Syntax and Semantics of Java The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27David Bernstein, Michael Rodeh, Shmuel Sagiv Proving Safety of Speculative Load Instructions at Compile Time. Search on Bibsonomy ESOP The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Krishna V. Palem, Barbara B. Simons Scheduling Time-Critical Instructions on RISC Machines. Search on Bibsonomy POPL The full citation details ... 1990 DBLP  DOI  BibTeX  RDF RISC
27Lingtao Wang, Chuan-lin Wu I-NET mechanism for issuing multiple instructions. Search on Bibsonomy SC The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
26Anna Inn-Tung Chen, Ming-Shing Chen, Tien-Ren Chen, Chen-Mou Cheng, Jintai Ding, Eric Li-Hsiang Kuo, Frost Yu-Shuang Lee, Bo-Yin Yang SSE Implementation of Multivariate PKCs on Modern x86 CPUs. Search on Bibsonomy CHES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multivariate public key cryptosystem (MPKC), ?IC, vector instructions, SSSE3, Wiedemann, TTS, rainbow, SSE2
26Renata Yumi Shimabukuro Designing an interactive spoken help application for preschool children. Search on Bibsonomy IDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF spoken help, interactive television, instructions, preschool children
26Aneesh Aggarwal, Manoj Franklin Instruction Replication: Reducing Delays Due to Inter-PE Communication Latency. Search on Bibsonomy IEEE PACT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Instruction Replication, Inter-PE communication, Instruction Distribution, Instructions per Cycle, Load Imbalance, Clustered processors
26Rainer Leupers, Steven Bashford Graph-based code selection techniques for embedded processors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF code selection, irregular data paths, embedded processors, data-flow graphs, SIMD instructions
26Bernardo Kastrup, Arjan Bink, Jan Hoogerbrugge ConCISe: A Compiler-Driven CPLD-Based Instruction Set Accelerator. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF XPLA, compilers, static-analysis, computer-architecture, reconfigurable-computing, compiler-optimizations, hardware-acceleration, programmable-logic, CPLD, custom-instructions
26Roger Collins, Gordon B. Steven Instruction Scheduling for a Superscalar Architecture. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF compile-time instruction scheduling, conditional group scheduler, HSA processor model, guarded instruction execution, instruction squashing, instruction buffer, performance evaluation, superscalar processors, superscalar architecture, functional units, branch instructions
26Steven Wallace, Nirav Dagli, Nader Bagherzadeh Design and implementation of a 100 MHz centralized instruction window for a superscalar microprocessor. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF centralized instruction window, four instructions per cycle, compact layout, full-custom design, computer architecture, microprocessor chips, superscalar architecture, superscalar microprocessor, out-of-order issue, 100 MHz
26V. Prasad Krothapalli, P. Sadayappan Removal of Redundant Dependences in DOACROSS Loops with Constant Dependences. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF constant dependences, redundant dependences, simple loops, parallelexecution, synchronization instructions, doubly nestedloop, parallel programming, redundancy, directed graphs, nested loops, iteration space, DOACROSS loops
25Cassandre Simon, Manel Boukli Hacene, Flavien Lebrun, Samir Otmane, Amine Chellali Influence of multimodal instructions on learning tool manipulation skills through mentoring in an immersive environment: Influence des instructions multimodales sur l'apprentissage par compagnonnage des compétences de manipulation d'outil dans un environnement immersif. Search on Bibsonomy IHM The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
25Yifan Du 0002, Hangyu Guo, Kun Zhou 0002, Wayne Xin Zhao, Jinpeng Wang 0001, Chuyuan Wang, Mingchen Cai, Ruihua Song, Ji-Rong Wen What Makes for Good Visual Instructions? Synthesizing Complex Visual Reasoning Instructions for Visual Instruction Tuning. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
25Swaroop Mishra, Daniel Khashabi, Chitta Baral, Hannaneh Hajishirzi Natural Instructions: Benchmarking Generalization to New Tasks from Natural Language Instructions. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
25Elaine C. Khoong, Sachin Shah, Michelle Mourad, Raman R. Khanna Impact of comprehensive, language-concordant discharge instructions on rates of patient questions about discharge medications or instructions. Search on Bibsonomy AMIA The full citation details ... 2020 DBLP  BibTeX  RDF
25Ellen S. Wilschut, Reinier Könemann, Molly S. Murphy, Gu J. W. Van Rhijn, Tim Bosch Evaluating learning approaches for product assembly: using chunking of instructions, spatial augmented reality and display based work instructions. Search on Bibsonomy PETRA The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
25Jonas Blattgerste, Patrick Renner, Benjamin Strenge, Thies Pfeiffer In-Situ Instructions Exceed Side-by-Side Instructions in Augmented Reality Assisted Assembly. Search on Bibsonomy PETRA The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25K. A. Batuzov The use of vector instructions of a processor architecture for emulating the vector instructions of another processor architecture. Search on Bibsonomy Program. Comput. Softw. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Julie Sauvage-Vincent Un langage contrôlé pour les instructions nautiques du Service Hydographique et Océanographique de la Marine. (A controlled language for the french national Hydrographic and Oceanographic Service Coast Pilot Books instructions nautiques). Search on Bibsonomy 2017   RDF
25Elsa Eiriksdottir, Richard Catrambone Procedural Instructions, Principles, and Examples: How to Structure Instructions for Procedural Tasks to Enhance Performance, Learning, and Transfer. Search on Bibsonomy Hum. Factors The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
25Joerg C. Wolf, Guido Bugmann Converting multi-modal task instructions to rule-based robot instructions. Search on Bibsonomy RO-MAN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Guillaume Huard Algorithmique du décalage d'instructions. (Instructions shifting algorithmics). Search on Bibsonomy 2001   RDF
24Minming Li, Chun Jason Xue, Tiantian Liu 0001, Yingchao Zhao 0001 Analysis and approximation for bank selection instruction minimization on partitioned memory architecture. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bank selection instruction minimization, partitioned memory architecture
24Aneesh Aggarwal Complexity Effective Bypass Networks. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Suriya Subramanian, Kathryn S. McKinley HeDGE: Hybrid Dataflow Graph Execution in the Issue Logic. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Maged M. Michael, Martin T. Vechev, Vijay A. Saraswat Idempotent work stealing. Search on Bibsonomy PPoPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF memory barrier, memory fence, atomic, work stealing
24Behnam Robatmili, Katherine E. Coons, Doug Burger, Kathryn S. McKinley Strategies for mapping dataflow blocks to distributed hardware. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Chang Joo Lee, Hyesoon Kim, Onur Mutlu, Yale N. Patt Performance-aware speculation control using wrong path usefulness prediction. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Arno Puder Byte Code Transformations using XSL Stylesheets. Search on Bibsonomy SNPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Guilherme Ottoni, David I. August Communication optimizations for global multi-threaded instruction scheduling. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF graph min-cut, communication, synchronization, data-flow analysis, multi-threading, instruction scheduling
24Steven Swanson, Andrew Schwerin, Martha Mercaldi, Andrew Petersen 0001, Andrew Putnam, Ken Michelson, Mark Oskin, Susan J. Eggers The WaveScalar architecture. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF WaveScalar, multithreading, dataflow computing
24Joseph J. Sharkey, Dmitry V. Ponomarev Exploiting Operand Availability for Efficient Simultaneous Multithreading. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF simultaneous multithreading, Issue queue
24Fei Sun, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha A Synthesis Methodology for Hybrid Custom Instruction and Coprocessor Generation for Extensible Processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Jaewook Shin Introducing Control Flow into Vectorized Code. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Hiroshi Inoue, Takao Moriyama, Hideaki Komatsu, Toshio Nakatani AA-Sort: A New Parallel Sorting Algorithm for Multi-Core SIMD Processors. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Demid Borodin, Ben H. H. Juurlink, Stamatis Vassiliadis Instruction-Level Fault Tolerance Configurability. Search on Bibsonomy ICSAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Andrea Corradini 0002, Adrian Bak, Thomas Hanneforth A Natural Language Interface for a 2D Networked Game. Search on Bibsonomy HCI (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Multiplayer Networked Game, Natural Language Processing
24Josep M. Codina, F. Jesús Sánchez, Antonio González 0001 Virtual Cluster Scheduling Through the Scheduling Graph. Search on Bibsonomy CGO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Paul D. Bryan, Michel C. Rosier, Thomas M. Conte Reverse State Reconstruction for Sampled Microarchitectural Simulation. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF reverse state reconstruction, sampled microarchitectural simulation, processor simulation
24Jatan P. Shah, Rama Sangireddy Higher Clock Rate at Comparable IPC Through Reduced Circuit Complexity in Instruction Format Based Pipeline Clustering. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Shengjyi Yang, Chijie Lin, Chiuyun Hung, Jiying Wu, Yiwen Wang 0003 Application-Specific Instruction Generation for SOC Processors. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Volker Paelke, Birgit Elias Stories as Route Descriptions. Search on Bibsonomy COSIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Arquimedes Canedo, Ben A. Abderazek, Masahiro Sowa New Code Generation Algorithm for QueueCore - An Embedded Processor with High ILP. Search on Bibsonomy PDCAT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Oliverio J. Santana, Ayose Falcón, Alex Ramírez, Mateo Valero Branch predictor guided instruction decoding. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF complexity-effective, instruction decoding, branch predictor
24Joseph J. Sharkey, Dmitry V. Ponomarev Efficient instruction schedulers for SMT processors. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Kyle Rupnow, Arun Rodrigues, Keith D. Underwood, Katherine Compton Scientific applications vs. SPEC-FP: a comparison of program behavior. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Jingfei Kong, Cliff Changchun Zou, Huiyang Zhou Improving software security via runtime instruction-level taint checking. Search on Bibsonomy ASID The full citation details ... 2006 DBLP  DOI  BibTeX  RDF format string, hardware tagging, buffer overflow
24Alex Pajuelo, Antonio González 0001, Mateo Valero Speculative execution for hiding memory latency. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Arvind Krishnaswamy, Rajiv Gupta 0001 Efficient Use of Invisible Registers in Thumb Code. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Nevin Kirman, Meyrem Kirman, Mainak Chaudhuri, José F. Martínez Checkpointed Early Load Retirement. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Michael D. Black, Manoj Franklin Neural Confidence Estimation for More Accurate Value Prediction. Search on Bibsonomy HiPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Michael Venable, Mohamed R. Chouchane, Md. Enamul Karim, Arun Lakhotia Analyzing Memory Accesses in Obfuscated x86 Executables. Search on Bibsonomy DIMVA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Mehrdad Reshadi, Bita Gorjiara, Daniel D. Gajski Utilizing Horizontal and Vertical Parallelism with a No-Instruction-Set Compiler for Custom Datapaths. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Christian Panis, Ulrich Hirnschrott, Andreas Krall, Gunther Laure, Wolfgang Lazian, Jari Nurmi FSEL - Selective Predicated Execution for a Configurable DSP Core. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24G. Surendra, Subhasis Banerjee, S. K. Nandy 0001 Power-performance trade-off using pipeline delays. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Dongsoo Kang, Jean-Luc Gaudiot Speculation Control for Simultaneous Multithreading. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Yongxiang Liu, Anahita Shayesteh, Gokhan Memik, Glenn Reinman Scaling the issue window with look-ahead latency prediction. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF LHT, MNM, SILO, instruction sorting, CLP
Displaying result #101 - #200 of 5158 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license