The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for latches with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1991 (16) 1992-1994 (20) 1995 (19) 1996 (18) 1997-1998 (22) 1999 (24) 2000-2001 (23) 2002 (18) 2003 (25) 2004 (28) 2005 (25) 2006 (27) 2007 (34) 2008 (25) 2009-2010 (20) 2011-2013 (18) 2014-2016 (15) 2017-2018 (17) 2019-2023 (19) 2024 (1)
Publication types (Num. hits)
article(124) book(1) inproceedings(287) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 369 occurrences of 249 keywords

Results
Found 414 publication records. Showing 414 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22Ayoob E. Dooply, Kenneth Y. Yun Optimal Clocking and Enhanced Testability for High-Performance Self-Resetting Domino Pipelines. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Self-resetting domino, time borrowing, roadblock, skew tolerance design-for-testability, scan register, multiple stuck fault
22Radu M. Secareanu, Ivan S. Kourtev, Juan Becerra, Thomas E. Watrobski, Christopher Morton, William Staub, Thomas Tellier, Eby G. Friedman Noise Immunity of Digital Circuits in Mixed-Signal Smart Power Systems. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Dave Johnson 0003, Venkatesh Akella, Bret Stott Micropipelined asynchronous discrete cosine transform (DCT/IDCT) processor. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Christer Svensson, Atila Alvandpour Low power and low voltage CMOS digital circuit techniques. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power, CMOS, digital circuits, low voltage
22Ellen Sentovich, Horia Toma, Gérard Berry Efficient Latch Optimization Using Exclusive Sets. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Hyunwoo Cho, Gary D. Hachtel, Enrico Macii, Massimo Poncino, Fabio Somenzi Automatic state space decomposition for approximate FSM traversal based on circuit analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
22Vigyan Singhal, Sharad Malik, Robert K. Brayton The case for retiming with explicit reset circuitry. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF reset state, synchronous reset, asynchronous reset, Retiming, initial state
22Ellen Sentovich, Horia Toma, Gérard Berry Latch optimization in circuits generated from high-level descriptions. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF sequential optimisation, high-level synthesis, state assignment
22Shaz Qadeer, Robert K. Brayton, Vigyan Singhal Latch Redundancy Removal Without Global Reset. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF global reset assumption, latch redundancy, safe replacement, delayed replacement, Finite state machine, core, strongly connected components
22Brian Lockyear, Carl Ebeling Optimal retiming of level-clocked circuits using symmetric clock schedules. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Weiwei Mao, Michael D. Ciletti Reducing correlation to improve coverage of delay faults in scan-path design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Joel Grodstein, Eric Lehman, Heather Harkness, Hervé J. Touati, Bill Grundmann Optimal latch mapping and retiming within a tree. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Tamal Mandal, Debraj Kundu, Sudip Roy 0001 Retention Time Constrained Bioassay Scheduling on Flow-Based Microfluidic Biochips with Latches. Search on Bibsonomy VLSID The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
21Aibin Yan, Zhixing Li, Jie Cui 0004, Zhengfeng Huang, Tianming Ni, Patrick Girard 0001, Xiaoqing Wen Designs of Two Quadruple-Node-Upset Self-Recoverable Latches for Highly Robust Computing in Harsh Radiation Environments. Search on Bibsonomy IEEE Trans. Aerosp. Electron. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Filippo Minnella, Jordi Cortadella, Mario R. Casu, Mihai T. Lazarescu, Luciano Lavagno Mix & Latch: An Optimization Flow for High-Performance Designs With Single-Clock Mixed-Polarity Latches and Flip-Flops. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Samuel Ellicott, Michael Kines, Waleed Khalil Design Space Exploration of TRNG Latches for Improved Entropy and Efficiency. Search on Bibsonomy PRIME The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Jung-Jin Park, Young-Min Kang, Geon-Hak Kim, Ik-Joon Chang, Jinsang Kim Transistor Sizing Scheme for DICE-Based Radiation-Resilient Latches. Search on Bibsonomy ICEIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Serdar A. Yonar, Pier Andrea Francese, Matthias Brändli, Marcel A. Kossel, Mridula Prathapan, Thomas Morf, Andrea Ruffino, Taekwang Jang An 8b 1.0-to-1.25GS/s 0.7-to-0.8V Single-Stage Time-Based Gated-Ring-Oscillator ADC with $2\times$ Interpolating Sense-Amplifier-Latches. Search on Bibsonomy ISSCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Ronaldo Serrano, Ckristian Duran, Marco Sarmiento, Tuan-Kiet Dang, Trong-Thuc Hoang, Cong-Kha Pham A Unified PUF and Crypto Core Exploiting the Metastability in Latches. Search on Bibsonomy Future Internet The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Hyunho Park, Hanwool Jeong Self-Shut-Off Pulsed Latches for Minimizing Sequencing Overhead. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Antik Mallick, Zijian Zhao, Mohammad Khairul Bashar, Shamiul Alam, Md. Mazharul Islam 0006, Yi Xiao, Yixin Xu, Ahmedullah Aziz, Vijaykrishnan Narayanan, Kai Ni 0004, Nikhil Shukla CMOS-Compatible Ising Machines built using Bistable Latches Coupled through Ferroelectric Transistor Arrays. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Ruijun Ma, Stefan Holst, Xiaoqing Wen, Aibin Yan, Hui Xu Evaluation and Test of Production Defects in Hardened Latches. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Sam M.-H. Hsiao, Lowry P.-T. Wang, Aaron C.-W. Liang, Charles H.-P. Wen Existence of Single-Event Double-Node Upsets (SEDU) in Radiation-Hardened Latches for Sub-65nm CMOS Technologies. Search on Bibsonomy ITC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Zhengfeng Huang, Xiandong Li, Zhouyu Gong, Huaguo Liang, Yingchun Lu, Yiming Ouyang, Tianming Ni Design of MNU-Resilient latches based on input-split C-elements. Search on Bibsonomy Microelectron. J. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Aibin Yan, Yan Chen, Zhelong Xu, Zhili Chen, Jie Cui 0004, Zhengfeng Huang, Patrick Girard 0001, Xiaoqing Wen Design of Double-Upset Recoverable and Transient-Pulse Filterable Latches for Low-Power and Low-Orbit Aerospace Applications. Search on Bibsonomy IEEE Trans. Aerosp. Electron. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Jan Böttcher, Viktor Leis, Jana Giceva, Thomas Neumann 0001, Alfons Kemper Scalable and robust latches for database systems. Search on Bibsonomy DaMoN The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Yukiya Miura, Yuya Kinoshita Soft Error Tolerance of Power-Supply-Noise Hardened Latches. Search on Bibsonomy IOLTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Chua-Chin Wang, Shao-Wei Lu 100 MHz Random Number Generator Design Using Interleaved Metastable NAND/NOR Latches*. Search on Bibsonomy APCCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Chaudhry Indra Kumar, Ishant Bhatia, Arvind Kumar Sharma, Deep Sehgal, H. S. Jatana, Anand Bulusu A Physics-Based Variability-Aware Methodology to Estimate Critical Charge for Near-Threshold Voltage Latches. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Mojtaba Noorallahzadeh, Mohammad Mosleh Efficient designs of reversible latches with low quantum cost. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Ki-Chan Woo, Hyeong-Ju Kang, Byung-Do Yang Area-Efficient Bidirectional Shift-Register Using Bidirectional Pulsed-Latches. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Pascal Andreas Meinerzhagen, Sandip Kundu, Andres Malavasi, Trang Nguyen, Muhammad M. Khellah, James W. Tschanz, Vivek De Min-Delay Margin/Error Detection and Correction for Flip-Flops and Pulsed Latches in 10-nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Martin Omaña 0001, TusharaSandeep Edara, Cecilia Metra Low-Cost Strategy to Mitigate the Impact of Aging on Latches' Robustness. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Faisal Mustafa Sajjade, Neeraj Kumar Goyal, B. K. S. V. L. Varaprasad, Ravindra Moogina Radiation Hardened by Design Latches - A Review and SEU Fault Simulations. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Chaudhry Indra Kumar, Anand Bulusu Design and Analysis of Energy Efficient Self Correcting Latches considering Metastability. Search on Bibsonomy PRIME The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Kodai Yamada, Haruki Maruoka, Jun Furuta, Kazutoshi Kobayashi Sensitivity to soft errors of NMOS and PMOS transistors evaluated by latches with stacking structures in a 65 nm FDSOI process. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Jean-Luc Danger, Risa Yashiro, Tarik Graba, Yves Mathieu, Abdelmalek Si-Merabet, Kazuo Sakiyama, Noriyuki Miura, Makoto Nagata Analysis of Mixed PUF-TRNG Circuit Based on SR-Latches in FD-SOI Technology. Search on Bibsonomy DSD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Stefan Holst, Ruijun Ma, Xiaoqing Wen The impact of production defects on the soft-error tolerance of hardened latches. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Jeffrey T. Scruggs, Brenden S. Ritola Hybrid Control of Vibratory Networks with Semiresonant Latches. Search on Bibsonomy ACC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Jacob Pike, Mahdi Parvizi, Naim Ben-Hamida, Sadok Aouini, Calvin Plett New Charge-Steering Latches in 28nm CMOS for Use in High-Speed Wireline Transceivers. Search on Bibsonomy ISCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Christopher J. Lukas, Farah B. Yahya, Benton H. Calhoun An Ultra-low Power System On Chip Enabling DVS with SR Level Shifting Latches. Search on Bibsonomy ISCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Ankur Shukla, Rahul M. Rao, James D. Warnock Impact of Device Aging on Early Mode Failures in Pulsed Latches. Search on Bibsonomy VLSID The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21T. S. Manivannan, Meena Srinivasan A Novel Design Approach to Implement Multi-port Register Files Using Pulsed-Latches. Search on Bibsonomy VDAT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Giuseppe Scotti, Davide Bellizia, Alessandro Trifiletti, Gaetano Palumbo Design of Low-Voltage High-Speed CML D-Latches in Nanometer CMOS Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Jinn-Shyan Wang, Shih-Nung Wei Process/Voltage/Temperature-Variation-Aware Design and Comparative Study of Transition-Detector-Based Error-Detecting Latches for Timing-Error-Resilient Pipelined Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Xueqing Li, Sumitha George, Kaisheng Ma, Wei-Yu Tsai, Ahmedullah Aziz, John Sampson, Sumeet Kumar Gupta, Meng-Fan Chang, Yongpan Liu, Suman Datta, Vijaykrishnan Narayanan Advancing Nonvolatile Computing With Nonvolatile NCFET Latches and Flip-Flops. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Wael M. Elsharkasy, Amin Khajeh, Ahmed M. Eltawil, Fadi J. Kurdahi Reliability Enhancement of Low-Power Sequential Circuits Using Reconfigurable Pulsed Latches. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Guo-Gin Fan, Mark Po-Hung Lin State retention for power gated design with non-uniform multi-bit retention latches. Search on Bibsonomy ICCAD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Sha Tao, Elena Dubrova TVL-TRNG: Sub-Microwatt True Random Number Generator Exploiting Metastability in Ternary Valued Latches. Search on Bibsonomy ISMVL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Tatsuya Kamakari, Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera Analytical Stability Modeling for CMOS Latches in Low Voltage Operation. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Naoki Tsuji, Naoki Takeuchi, Yuki Yamanashi, Thomas Ortlepp, Nobuyuki Yoshikawa Majority Gate-Based Feedback Latches for Adiabatic Quantum Flux Parametron Logic. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Naoya Torii, Hirotaka Kokubo, Dai Yamamoto, Kouichi Itoh, Masahiko Takenaka, Tsutomu Matsumoto ASIC implementation of random number generators using SR latches and its evaluation. Search on Bibsonomy EURASIP J. Inf. Secur. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Xunzhao Yin, Behnam Sedighi, Michael T. Niemier, Xiaobo Sharon Hu Design of latches and flip-flops using emerging tunneling devices. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
21Debajyoty Banik Design of low cost latches based on reversible quantum dot cellular automata. Search on Bibsonomy ISED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21R. J. E. Jansen, Scott Lindner High-voltage tolerant bi-state self-biasing output driver using cascade complementary latches in twin-well CMOS technology. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Jaspal Singh Shah, Manoj Sachdev Radiation hardened pulsed-latches in 65-nm CMOS. Search on Bibsonomy CCECE The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Byung-Do Yang Low-Power and Area-Efficient Shift Register Using Pulsed Latches. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Xu Hui, Zeng Yun Circuit and layout combination technique to enhance multiple nodes upset tolerance in latches. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Matheus T. Moreira, Dylan Hand, Peter A. Beerel, Ney Laert Vilar Calazans TDTB error detecting latches: Timing violation sensitivity analysis and optimization. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Bo-Jyun Kuo, Bo-Wei Chen, Chia-Ming Tsai A 0.6V, 1.3GHz dynamic comparator with cross-coupled latches. Search on Bibsonomy VLSI-DAT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Elio Consoli, Gaetano Palumbo, Jan M. Rabaey, Massimo Alioto Novel Class of Energy-Efficient Very High-Speed Conditional Push-Pull Pulsed Latches. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
21Naoya Torii, Dai Yamamoto, Masahiko Takenaka, Tsutomu Matsumoto Dynamic Behavior of RS latches using FIB processing and probe connection. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2014 DBLP  BibTeX  RDF
21Taek-Joon Ahn, Kyung-Sub Son, Yong-Sung Ahn, Jin-Ku Kang A low-power CDR using dynamic CML latches and V/I converter merged with XOR for half-rate linear phase detection. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
21Ignatius Bezzam, Shoba Krishnan Minimizing Power and Skew in VLSI-SoC Clocking with Pulsed Resonance Driven De-skewing Latches. Search on Bibsonomy VLSID The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
21Andrea Ghilioni, Andrea Mazzanti, Francesco Svelto Analysis and Design of mm-Wave Frequency Dividers Based on Dynamic Latches With Load Modulation. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Dai Yamamoto, Kazuo Sakiyama, Mitsugu Iwamoto, Kazuo Ohta, Masahiko Takenaka, Kouichi Itoh Variety enhancement of PUF responses using the locations of random outputting RS latches. Search on Bibsonomy J. Cryptogr. Eng. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Keisuke Inoue, Mineo Kaneko Heuristic and Exact Resource Binding Algorithms for Storage Optimization Using Flip-Flops and Latches. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Hirotaka Kokubo, Dai Yamamoto, Masahiko Takenaka, Kouichi Itoh, Naoya Torii Evaluation of ASIC Implementation of Physical Random Number Generators Using RS Latches. Search on Bibsonomy CARDIS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Luiz Carlos Moreira, Jose Fontebasso Neto, Wilhelmus A. M. Van Noije, Emmanuel Torres-Rios Inductorless very small 2nd derivative Gaussian IRUWB transmitter module using n/p-latches as PDs in CMOS technology. Search on Bibsonomy LASCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Isha Garg, Prakhar Sharma Estimation of SNM in latches and subsequent formation of a 10T CNFET bitcell. Search on Bibsonomy WISES The full citation details ... 2013 DBLP  BibTeX  RDF
21Vladimir M. Milovanovic, Horst Zimmermann Complementary edge alignment and digital output signal speed-up CMOS positive feedback latches. Search on Bibsonomy DDECS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
21Aadithya V. Karthik, Yingyan Lin, Chenjie Gu, Aolin Xu, Jaijeet S. Roychowdhury, Naresh R. Shanbhag A fully automated technique for constructing FSM abstractions of non-ideal latches in communication systems. Search on Bibsonomy ICASSP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
21Elio Consoli, Massimo Alioto, Gaetano Palumbo, Jan M. Rabaey Conditional push-pull pulsed latches with 726fJ·ps energy-delay product in 65nm CMOS. Search on Bibsonomy ISSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
21Yang Lu, Fabrizio Lombardi, Salvatore Pontarelli, Marco Ottavi On the design of two single event tolerant slave latches for scan delay testing. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
21Tsutomu Ishida, Izumi Nitta, Katsumi Homma, Yuzi Kanazawa, Hiroaki Komatsu Speed-path analysis for multi-path failed latches with random variation. Search on Bibsonomy ISQED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
21Ken Yano, Takanori Hayashida, Toshinori Sato Analysis of SER Improvement by Radiation Hardened Latches. Search on Bibsonomy PRDC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
21S. Lin, Y.-B. Kim, Fabrizio Lombardi Design and Performance Evaluation of Radiation Hardened Latches for Nanoscale CMOS. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
21Andrey Nikolaev Exploring Oracle RDBMS latches using Solaris DTrace Search on Bibsonomy CoRR The full citation details ... 2011 DBLP  BibTeX  RDF
21Renato P. Ribas, Yuyang Sun, André Inácio Reis, André Ivanov Ring oscillators for functional and delay test of latches and flip-flops. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
21Renato P. Ribas, Yuyang Sun, André Inácio Reis, André Ivanov Self-checking test circuits for latches and flip-flops. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
21Chun Zhao, W. Pan, C. Z. Zhao, Ka Lok Man, J. Choi, J. Chang Performance-effective compaction of standard cell library for edge-triggered latches utilizing 0.5 micron technology. Search on Bibsonomy ISOCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
21Dai Yamamoto, Kazuo Sakiyama, Mitsugu Iwamoto, Kazuo Ohta, Takao Ochiai, Masahiko Takenaka, Kouichi Itoh Uniqueness Enhancement of PUF Responses Based on the Locations of Random Outputting RS Latches. Search on Bibsonomy CHES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
21Martin Omaña 0001, Daniele Rossi 0001, Cecilia Metra High-Performance Robust Latches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Hyein Lee 0003, Seungwhun Paik, Youngsoo Shin Pulse Width Allocation and Clock Skew Scheduling: Optimizing Sequential Circuits Based on Pulsed Latches. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Yngvar Berg Static ultra-low-voltage high-speed CMOS logic and latches. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21A. Bhola, G. Kanitkar Memristors and crossbar latches. Search on Bibsonomy ICWET The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Himanshu Thapliyal, Nagarajan Ranganathan Design of Reversible Latches Optimized for Quantum Cost, Delay and Garbage Outputs. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Quantum Cost, Delay, Sequential Circuits, Reversible Logic
21Jaejun Lee, Sungho Lee, Yonghoon Song, Sangwook Nam High Gain and Wide Range Time Amplifier Using Inverter Delay Chain in SR Latches. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Jing Wang 0001, Gianluca Meloni, Gianluca Berrettini, Luca Potì, Antonella Bogoni All-Optical Clocked Flip-Flops Exploiting SOA-Based SR Latches and Logic Gates. Search on Bibsonomy OSC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optical flip-flop, optical logic gate, optical signal processing, semiconductor optical amplifier (SOA)
21Sheng Lin 0006, Yong-Bin Kim, Fabrizio Lombardi Soft-Error Hardening Designs of Nanoscale CMOS Latches. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Serban E. Vlad The equations of the ideal latches Search on Bibsonomy CoRR The full citation details ... 2008 DBLP  BibTeX  RDF
21Jacqueline E. Rice An Introduction to Reversible Latches. Search on Bibsonomy Comput. J. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Gaetano Palumbo, Melita Pennisi Design guidelines for high-speed Transmission-gate latches: Analysis and comparison. Search on Bibsonomy ICECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Xu Cheng, Russell Duane Measurement and Analysis of PD-SOI Static Latches Based on Bistable-Gated-Bipolar Device. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Raul Fernández, Rosana Rodríguez, Montserrat Nafría, Xavier Aymerich Effect of oxide breakdown on RS latches. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Visvesh S. Sathe 0001, Jerry C. Kao, Marios C. Papaefthymiou A 0.8-1.2GHz Single-Phase Resonant-Clocked FIR Filter with Level-Sensitive Latches. Search on Bibsonomy CICC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Hsiang-Ju Hsu, Ching-Te Chiu, Yarsun Hsu Design of ultra low power CML MUXs and latches with forward body bias. Search on Bibsonomy SoCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Xiaoqiang Shou, Nader Kalantari, Michael M. Green Design of CMOS Ternary Latches. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Martin Hansson, Atila Alvandpour A Leakage Compensation Technique for Dynamic Latches and Flip-Flops in Nano-Scale CMOS. Search on Bibsonomy SoCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Kazuteru Namba, Hideo Ito Scan Design for Two-Pattern Test without Extra Latches. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 414 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license