The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase micro-architecture (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1998 (16) 1999-2000 (16) 2001-2003 (27) 2004 (21) 2005 (24) 2006 (25) 2007 (22) 2008 (23) 2009 (19) 2010-2012 (17) 2013-2015 (17) 2016-2019 (22) 2020-2024 (14)
Publication types (Num. hits)
article(42) incollection(2) inproceedings(214) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 193 occurrences of 163 keywords

Results
Found 263 publication records. Showing 263 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
11Zhibin Yu 0001, Lieven Eeckhout, Nilanjan Goswami, Tao Li 0006, Lizy K. John, Hai Jin 0001, Cheng-Zhong Xu 0001, Junmin Wu GPGPU-MiniBench: Accelerating GPGPU Micro-Architecture Simulation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
11Marco A. Z. Alves, Carlos Villavieja, Matthias Diener, Francis Birck Moreira, Philippe Olivier Alexandre Navaux SiNUCA: A Validated Micro-Architecture Simulator. Search on Bibsonomy HPCC/CSS/ICESS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
11Cheng Chen 0016, Dakai Jin, Punam K. Saha Fuzzy Skeletonization Improves the Performance of Characterizing Trabecular Bone Micro-architecture. Search on Bibsonomy ISVC (1) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
11Sander De Pestel, Stijn Eyerman, Lieven Eeckhout Micro-architecture independent branch behavior characterization. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
11Sam Van den Steen, Sander De Pestel, Moncef Mechri, Stijn Eyerman, Trevor E. Carlson, David Black-Schaffer, Erik Hagersten, Lieven Eeckhout Micro-architecture independent analytical processor performance and power modeling. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
11Dusan Suvakovic, Adriaan J. de Lind van Wijngaarden RAM-based micro-architecture for a high-throughput interconnection network. Search on Bibsonomy Sarnoff Symposium The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
11E. Crauste, Florent Autrusseau, Jeanpierre Guédon, P. Pilet, Yves Amouriq, P. Weiss, Bernard Giumelli Bone vascularization and bone micro-architecture characterizations according to the μCT resolution. Search on Bibsonomy Medical Imaging: Biomedical Applications in Molecular, Structural, and Functional Imaging The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
11E. Freuchet, Benoit Recur, Jeanpierre Guédon, Andrew Kingston, Florent Autrusseau, Yves Amouriq Building a bone μCT images atlas for micro-architecture recognition. Search on Bibsonomy Medical Imaging: Biomedical Applications in Molecular, Structural, and Functional Imaging The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
11Fernando Akira Endo Génération dynamique de code pour l'optimisation énergétique. (Online Auto-Tuning for Performance and Energy through Micro-Architecture Dependent Code Generation). Search on Bibsonomy 2015   RDF
11Sina Hassani Going Live in Micro-Architecture Simulation. Search on Bibsonomy 2015   RDF
11Fenglong Song, Shibin Tang, Wenming Li, Futao Miao, Hao Zhang 0009, Dongrui Fan, Zhiyong Liu 0002 CRANarch: A feasible processor micro-architecture for Cloud Radio Access Network. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
11Sergei Dytckov, Masoud Daneshtalab, Masoumeh Ebrahimi, Hassan Anwar, Juha Plosila, Hannu Tenhunen Efficient STDP Micro-Architecture for Silicon Spiking Neural Networks. Search on Bibsonomy DSD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
11Hui Meen Nyew, Nilufer Onder, Soner Önder, Zhenlin Wang Verifying micro-architecture simulators using event traces. Search on Bibsonomy ICS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
11Francis B. Moreira 0001, Marco A. Z. Alves, Israel Koren Profiling and Reducing Micro-Architecture Bottlenecks at the Hardware Level. Search on Bibsonomy SBAC-PAD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
11Dakai Jin, Yinxiao Liu, Punam K. Saha Application of fuzzy skeletonization ot quantitatively assess trabecular bone micro-architecture. Search on Bibsonomy EMBC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
11Sanjeev Jahagirdar, George Varghese, Inder Sodhi, Ryan Wells Power management of the third generation intel core micro architecture formerly codenamed ivy bridge. Search on Bibsonomy Hot Chips Symposium The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
11Jui-Chieh Lin, Sao-Jie Chen, Yu Hen Hu Cycle-efficient lineary feedback shift register implementation on word-based micro-architecture. Search on Bibsonomy ICASSP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
11Yinxiao Liu, Punam K. Saha, Ziyue Xu 0001 Quantitative Characterization of Trabecular Bone Micro-architecture Using Tensor Scale and Multi-Detector CT Imaging. Search on Bibsonomy MICCAI (1) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
11Sanghamitra Roy, Koushik Chakraborty Exploiting dynamic micro-architecture usage in gate sizing. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
11Susanna Pantsar-Syväniemi, Jarkko Kuusijärvi, Eila Ovaska Context-Awareness Micro-architecture for Smart Spaces. Search on Bibsonomy GPC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
11Susanna Pantsar-Syväniemi Adaptable Context-Aware Micro-architecture. Search on Bibsonomy GPC Workshops The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
11Samir Ammenouche, David E. Singh, Jesús Carretero 0001, William Jalby Software prefetch on core micro-architecture applied to irregular codes. Search on Bibsonomy HPCS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
11Hui Lin 0005, Gyungho Lee Micro-Architecture Support for Integrity Measurement on Dynamic Instruction Trace. Search on Bibsonomy J. Information Security The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
11Zhibin Yu 0001, Hai Jin 0001, Jian Chen 0030, Lizy K. John CantorSim: Simplifying Acceleration of Micro-architecture Simulations. Search on Bibsonomy MASCOTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
11Andrey Mokhov, Arseniy Alekseyev, Alexandre Yakovlev Automated Synthesis of Instruction Codes in the Context of Micro-architecture Design. Search on Bibsonomy ACSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF synthesis, microarchitecture, partial orders, instruction set, asynchronous control
11Yu Cheng, Anguo Ma, Yuxing Tang, Minxuan Zhang Phase Characterization and Classification for Micro-architecture Soft Error. Search on Bibsonomy EUC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
11Nasser A. Kurd, Praveen Mosalikanti, Mark Neidengard, Jonathan Douglas, Rajesh Kumar Next Generation Intel¯ Core™ Micro-Architecture (Nehalem) Clocking. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Zhibin Yu 0001, Hai Jin 0001 Simple and fast micro-architecture simulation: a trisection cantor fractal approach. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Per Karlström, Dake Liu NoGAP: A Micro Architecture Construction Framework. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Zhibin Yu 0001, Hai Jin 0001, Jian Chen 0030, Lizy K. John TSS: Applying two-stage sampling in micro-architecture simulations. Search on Bibsonomy MASCOTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11 Intel® XScale® Micro-Architecture. Search on Bibsonomy Encyclopedia of Multimedia The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Jorge J. Riera, Arne Schousboe, Helle S. Waagepetersen, Clare Howarth, Fahmeed Hyder The micro-architecture of the cerebral cortex: Functional neuroimaging models and metabolism. Search on Bibsonomy NeuroImage The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Zhibin Yu 0001, Hai Jin 0001, Jie Chen An Evaluation of Two-Stage Systematic Sampling in Micro-Architecture Simulation. Search on Bibsonomy ChinaGrid The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Po-Tsang Huang, Shu-Wei Chang, Wen-Yen Liu, Wei Hwang "Green" micro-architecture and circuit co-design for ternary content addressable memory. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Shijian Zhang, Weiwu Hu CREA: A Checkpoint Based Reliable Micro-architecture for Superscalar Processors. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Fang-Ju Lin, Herming Chiueh A Micro-architecture Simulator for Multimedia Stream Processor. Search on Bibsonomy ICECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Bernard Goossens, David Defour The instruction register file micro-architecture. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Thomas Lamotte, Jean-Marc Dinten, Françoise Peyrin Modelization of three-dimensional bone micro-architecture using Markov random fields with a multi-level clique system. Search on Bibsonomy Medical Imaging: Image Processing The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Lian Apostol, Françoise Peyrin, Sophie Yot, Olivier Basset, Christophe Odet, Joachim Tabary, Jean-Marc Dinten, Elodie Boller, Vincent Boudousq, Pierre-Olivier Kotzki A procedure for the evaluation of 2D radiographic texture analysis to assess 3D bone micro-architecture. Search on Bibsonomy Medical Imaging: Image Processing The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Hala A. Farouk, Magdy Saeb Design and Implementation of a Secret Key Steganographic Micro-Architecture Employing FPGA. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, architecture, Steganography, data hiding, subliminal channel, covert communications
11Daniel Gracia Pérez, Gilles Mouchard, Olivier Temam MicroLib: A Case for the Quantitative Comparison of Micro-Architecture Mechanisms. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Tie Feng, Jiachen Zhang, Hongyuan Wang, Xian Wang CBR and Micro-Architecture Anti-Patterns Based Software Design Improvement. Search on Bibsonomy AIAI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Yu Hu 0016, Qing Li 0001, C.-C. Jay Kuo Efficient implementation of elliptic curve cryptography (ECC) on VLIW-micro-architecture media processor. Search on Bibsonomy ICME The full citation details ... 2004 DBLP  BibTeX  RDF
11T. W. Yang, Z. Q. Sun, Shiu Kit Tso, Wei Liang Xu 0001 Trajectory control of a flexible space manipulator utilizing a macro-micro architecture. Search on Bibsonomy ICRA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Allon Adir, Eyal Bin, Ofer Peled, Avi Ziv Piparazzi: a test program generator for micro-architecture flow verification. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Shmuel Ur, Yaov Yadin Micro Architecture Coverage Directed Generation of Test Programs. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Steve Edwards Micro-Architecture of Software Components and The Need For Good Mental Models of Software Subsystems. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
11Marc Tremblay, Guillermo Maturana, Atsushi Inoue, Leslie Kohn A Fast and Flexible Performance Simulator for Micro-Architecture Trade-off Analysis on UltraSPARC-I. Search on Bibsonomy DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
11Chris J. Rousse, Alison J. Carter The use of single and multiple seed architectures with a natural based micro-architecture exploration algorithm. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  BibTeX  RDF
11John E. Murray, Ronald M. Salett, Ricky C. Hetherington, Francis X. McKeen Micro-architecture of the VAX 9000. Search on Bibsonomy Compcon The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
11Jonathan S. Blau, Charles J. Holland, David L. Keating The micro-architecture of the ECLIPSE® MV/8000: Conception and implementation. Search on Bibsonomy MICRO The full citation details ... 1980 DBLP  BibTeX  RDF
10Mladen Berekovic, Tim Niggemeier A Scalable, Multi-thread, Multi-issue Array Processor Architecture for DSP Applications Based on Extended Tomasulo Scheme. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Thomas Schuster, D. N. Bruna, Bruno Bougard, Veerle Derudder, A. Hoffmann, Liesbet Van der Perre Subword-Parallel VLIW Architecture Exploration for Multimode Software Defined Radio. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Lieven Eeckhout, Henk Neefs, Koenraad De Bosschere, Jan Van Campenhout Investigating the Implementation of a Block Structured Architecture in an Early Design Stage. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Pedro C. Diniz, Ben H. H. Juurlink, Alain Darte, Wolfgang Karl Introduction. Search on Bibsonomy Euro-Par The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Henry Kasim, Verdi March, Simon See Performance Comparison of Four-Socket Server Architecture on HPC Workload. Search on Bibsonomy CSE (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Alexander Fell, Mythri Alle, Keshavan Varadarajan, Prasenjit Biswas, Saptarsi Das, Jugantor Chetia, S. K. Nandy 0001, Ranjani Narayan Streaming FFT on REDEFINE-v2: an application-architecture design space exploration. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF application synthesis, custom instruction extension, dataflow software pipeline, honeycomb, polymorphic asic, runtime reconfiguration, router, NOC
9Sergio Saponara, Francesco Vitullo, Riccardo Locatelli, Philippe Teninge, Marcello Coppola, Luca Fanucci LIME: A Low-latency and Low-complexity On-chip Mesochronous Link with Integrated Flow Control. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Koen De Bosschere, Ayal Zaks, Michael C. Huang 0001, Luis Piñuel Topic 4: High Performance Architectures and Compilers. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Miroslav Knezevic, Kazuo Sakiyama, Yong Ki Lee, Ingrid Verbauwhede On the high-throughput implementation of RIPEMD-160 hash algorithm. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Samarjit Chakraborty, Tulika Mitra, Abhik Roychoudhury, Lothar Thiele, Unmesh D. Bordoloi, Cem Derdiyok Cache-Aware Timing Analysis of Streaming Applications. Search on Bibsonomy ECRTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Xiaobo Yan, Xuejun Yang, Pu Wen Compile-Time Thread Distinguishment Algorithm on VIM-Based Architecture. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Bo-Cheng Charles Lai, Patrick Schaumont, Wei Qin, Ingrid Verbauwhede Cross Layer Design to Multi-thread a Data-Pipelining Application on a Multi-processor on Chip. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Jung Ho Ahn, Mattan Erez, William J. Dally Scatter-Add in Data Parallel Architectures. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Shenglin Yang, Patrick Schaumont, Ingrid Verbauwhede Microcoded coprocessor for embedded secure biometric authentication systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cryptographic biometrics, fingerprint verification., fuzzy vault scheme, microcoded coprocessor
9Jason Cong, Yiping Fan, Xun Yang, Zhiru Zhang Architecture and synthesis for multi-cycle communication. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF RDR, multi-cycle communication, scheduling, interconnect, placement, binding, deep sub-micron, timing closure
9Artur Klauser, Abhijit Paithankar, Dirk Grunwald Selective Eager Execution on the PolyPath Architecture. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Richard E. Sweet, James G. Sandman Jr. Empirical Analysis of the Mesa Instruction Set. Search on Bibsonomy ASPLOS The full citation details ... 1982 DBLP  DOI  BibTeX  RDF MESA
8Sameh Sharkawi, Don DeSota, Raj Panda, Rajeev Indukuru, Stephen Stevens, Valerie E. Taylor, Xingfu Wu Performance projection of HPC applications using SPEC CFP2006 benchmarks. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Rooju Chokshi, Krzysztof S. Berezowski, Aviral Shrivastava, Stanislaw J. Piestrak Exploiting residue number system for power-efficient digital signal processing in embedded processors. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF compiler, power, processor, residue number system, per-
8Wessam Hassanein, Layali K. Rashid, Moustafa A. Hammad Analyzing the Effects of Hyperthreading on the Performance of Data Management Systems. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Hyper-threaded architectures, Performance, Databases, Simultaneous multithreading, Data management systems
8Matthias Böhm 0001, Dirk Habich, Wolfgang Lehner, Uwe Wloka DIPBench Toolsuite: A Framework for Benchmarking Integration Systems. Search on Bibsonomy ICDE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8John R. Feehrer, Paul Rotker, Milton Shih, Paul Gingras, Peter Yakutis, Stephen Phillips, John Heath, Sebastian Turullols Coherency Hub Design for Multi-Node Victoria Falls Server Systems. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-threaded processor cores, multi-node CMT systems, serial interconnects, packet switching, cache coherency
8Ismail Assayad, Sergio Yovine Modelling and Exploration Environment for Application Specific Multiprocessor Systems. Search on Bibsonomy HASE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Software/Hardware Analysis, Architecture Exploration, Multiprocessor Embedded Systems
8Yixin Shi, Gyungho Lee Augmenting Branch Predictor to Secure Program Execution. Search on Bibsonomy DSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Control Flow Validation, Indirect Branch, Bloom Filter, Software Protection, Branch Predictor
8Tommy Bojan, Igor Frumkin, Robert Mauri Intel First Ever Converged Core Functional Validation Experience: Methodologies, Challenges, Results and Learning. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Xianhua Liu 0001, Jiyu Zhang, Xu Cheng 0001 Efficient code size reduction without performance loss. Search on Bibsonomy SAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dual-width instruction set, mixed code generation, embedded system, code size reduction
8Engin Ipek, Meyrem Kirman, Nevin Kirman, José F. Martínez A Reconfigurable Chip Multiprocessor Architecture to Accommodate Software Diversity. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Engin Ipek, Meyrem Kirman, Nevin Kirman, José F. Martínez Core fusion: accommodating software diversity in chip multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip multiprocessors, reconfigurable architectures, software diversity
8Jin Yang 0006 Verification Challenges and Opportunities in the New Era of Microprocessor Design. Search on Bibsonomy ATVA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Songping Mai, Kun Yang, Wenli Lan, Chun Zhang, Zhihua Wang 0001 An open-source based DSP with enhanced multimedia-processing capacity for embedded applications. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Wessam Hassanein, Moustafa A. Hammad, Layali K. Rashid Characterizing the Performance of Data Management Systems on Hyper-Threaded Architectures. Search on Bibsonomy SBAC-PAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Yifan Zhu, Frank Mueller 0001 Feedback EDF scheduling exploiting hardware-assisted asynchronous dynamic voltage scaling. Search on Bibsonomy LCTES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scheduling, real-time systems, dynamic voltage scaling, feedback control
8Andrew Chang 0001, William J. Dally Explaining the gap between ASIC and custom power: a custom perspective. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF custom circuits, normalized metrics, low power, energy efficiency, ASIC, EDA, technology scaling
8Yunsi Fei, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha A hybrid energy-estimation technique for extensible processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Gordon Cichon, Pablo Robelly, Hendrik Seidel, Emil Matús, Marcus Bronzel, Gerhard P. Fettweis Synchronous Transfer Architecture (STA). Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Tie Feng, Jiachen Zhang, Hongyuan Wang, Xian Wang Software Design Improvement through Anti-Patterns Identification. Search on Bibsonomy ICSM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Jason Cong, Yiping Fan, Zhiru Zhang Architecture-level synthesis for automatic interconnect pipelining. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect pipelining, multi-cycle communication, scheduling, high-level synthesis, register binding
8Dinesh C. Suresh, Walid A. Najjar, Frank Vahid, Jason R. Villarreal, Greg Stitt Profiling tools for hardware/software partitioning of embedded applications. Search on Bibsonomy LCTES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF loop analysis, compiler optimization, hardware/software partitioning
8Anthony C. J. Fox Formal Specification and Verification of ARM6. Search on Bibsonomy TPHOLs The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Yunsi Fei, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha Energy Estimation for Extensible Processors. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Kai-Feng Wang, Zhenzhou Ji, Mingzeng Hu Simultaneous Multithreading Trace Processors. Search on Bibsonomy APPT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Jason Cong, Yiping Fan, Guoling Han, Xun Yang, Zhiru Zhang Architectural Synthesis Integrated with Global Placement for Multi-Cycle Communication. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Wei-Chung Hsu, Howard Chen 0002, Pen-Chung Yew, Dong-yuan Chen On the Predictability of Program Behavior Using Different Input Data Sets. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2002 DBLP  DOI  BibTeX  RDF SPEC2000int, profiles, performance simulation, Itanium, profile-based optimization
8Bruce R. Childers, Jack W. Davidson An Infrastructure for Designing Custom Embedded Counter-flow Pipelines. Search on Bibsonomy HICSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
8Panagiotis Manolios Correctness of Pipelined Machines. Search on Bibsonomy FMCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
8Sharad Malik, D. K. Arvind 0001, Edward A. Lee, Phil Koopman, Alberto L. Sangiovanni-Vincentelli, Wayne H. Wolf Embedded systems education (panel abstract). Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
8Bernardo Kastrup, Arjan Bink, Jan Hoogerbrugge ConCISe: A Compiler-Driven CPLD-Based Instruction Set Accelerator. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF XPLA, compilers, static-analysis, computer-architecture, reconfigurable-computing, compiler-optimizations, hardware-acceleration, programmable-logic, CPLD, custom-instructions
6Graham Schelle, Jamison D. Collins, Ethan Schuchman, Perry H. Wang, Xiang Zou, Gautham N. Chinya, Ralf Plate, Thorsten Mattner, Franz Olbrich, Per Hammarlund, Ronak Singhal, Jim Brayton, Sebastian Steibl, Hong Wang 0003 Intel nehalem processor core made FPGA synthesizable. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF intel nehalem, synthesizable core, fpga, emulator
6Reetuparna Das, Soumya Eachempati, Asit K. Mishra, Narayanan Vijaykrishnan, Chita R. Das Design and evaluation of a hierarchical on-chip interconnect for next-generation CMPs. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 263 (100 per page; Change: )
Pages: [<<][1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license