The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for microarchitecture with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1981-1986 (15) 1987 (25) 1988 (29) 1989 (37) 1990 (36) 1991 (28) 1992 (47) 1993 (31) 1994 (35) 1995 (51) 1996 (38) 1997 (41) 1998 (43) 1999 (53) 2000 (58) 2001 (56) 2002 (75) 2003 (84) 2004 (92) 2005 (100) 2006 (115) 2007 (113) 2008 (101) 2009 (121) 2010 (72) 2011 (62) 2012 (72) 2013 (66) 2014 (64) 2015 (76) 2016 (77) 2017 (78) 2018 (88) 2019 (94) 2020 (99) 2021 (114) 2022 (105) 2023 (143) 2024 (5)
Publication types (Num. hits)
article(268) book(1) incollection(3) inproceedings(2314) phdthesis(13) proceedings(40)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1279 occurrences of 640 keywords

Results
Found 2639 publication records. Showing 2639 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
33Chanchal Kumar, Anirudh Seshadri, Aayush Chaudhary, Shubham Bhawalkar, Rohit Singh, Eric Rotenberg Post-Fabrication Microarchitecture. Search on Bibsonomy MICRO The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
33Mengyu Zhang, Lei Xie, Zhenxing Zhang, Qiaonian Yu, Guanglei Xi, Hualiang Zhang, Fuming Liu, Yarui Zheng, Yicong Zheng, Shengyu Zhang 0002 Exploiting Different Levels of Parallelism in the Quantum Control Microarchitecture for Superconducting Qubits. Search on Bibsonomy MICRO The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
33Michel Sarraf Evaluation non-invasive des Gliomes par Imagerie Résonance Magnétique : Effets des traitements anti-angiogéniques (Avastin) sur la microvascularisation et la microarchitecture tumorale et péritumorale. (Non-invasive evaluation of Gliomas by Magnetic Resonance Imaging : Effects of anti-angiogenic treatments (Avastin) on tumor and peritumoral microvasculature and microarchitecture). Search on Bibsonomy 2019   RDF
33Amirali Sharifian, Reza Hojabr, Navid Rahimi, Sihao Liu, Apala Guha, Tony Nowatzki, Arrvindh Shriraman μIR -An intermediate representation for transforming and optimizing the microarchitecture of application accelerators. Search on Bibsonomy MICRO The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
33Xiang Fu 0003, Michiel Adriaan Rol, Cornelis Christiaan Bultink, J. van Someren 0001, Nader Khammassi, Imran Ashraf, R. F. L. Vermeulen, J. C. de Sterke, W. J. Vlothuizen, R. N. Schouten, Carmen G. Almudéver, Leonardo DiCarlo, Koen Bertels An experimental microarchitecture for a superconducting quantum processor. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
33Sean Murray, William Floyd-Jones, Ying Qi, George Dimitri Konidaris, Daniel J. Sorin The microarchitecture of a real-time robot motion planning accelerator. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
33Khubaib, M. Aater Suleman, Milad Hashemi, Chris Wilkerson, Yale N. Patt MorphCore: An Energy-Efficient Microarchitecture for High Performance ILP and High Throughput TLP. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
33John Kim, Hanjoon Kim Router microarchitecture and scalability of ring topology in on-chip networks. Search on Bibsonomy NoCArc@MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
33Mahesh Ketkar, Eli Chiprout A microarchitecture-based framework for pre- and post-silicon power delivery analysis. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
33Charles R. Moore Microarchitecture in the system-level integration era. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Shekhar Borkar Microarchitecture and Design Challenges for Gigascale Integration. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Kerry Bernstein Microarchitecture on the MOSFET Diet. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
33Harvey G. Cragon, Ernest Cockrell Jr. Fifty years of microarchitecture. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Todd M. Austin DIVA: A Reliable Substrate for Deep Submicron Microarchitecture Design. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
33Chung-Ho Chen, Akida Wu Microarchitecture Support for Improving the Performance of Load Target Prediction. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF load target prediction, load-use stall, speculative data access, superscalar procesor, pipeline
33Todd M. Austin, Gurindar S. Sohi Zero-cycle loads: microarchitecture support for reducing load latency. Search on Bibsonomy MICRO The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
33Carl J. Beckmann, Constantine D. Polychronopoulos Microarchitecture support for dynamic scheduling of acyclic task graphs. Search on Bibsonomy MICRO The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
33Samarina Makhdoom, Daniel Tabak, Richard Auletta Register/File/Cache Microarchitecture Study Using VHDL. Search on Bibsonomy MICRO The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
33Michael Butler, Yale N. Patt The Effect of Real Data Cache Behavior on the Performance of a Microarchitecture that Supports Dynamic Scheduling. Search on Bibsonomy MICRO The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
33Edil S. T. Fernandes A model for microarchitecture structure evaluation. Search on Bibsonomy MICRO The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
33John A. Nestor, Bassel Soudan, Zubair Mayet MIES: a microarchitecture design tool. Search on Bibsonomy MICRO The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
33David W. Archer The instruction parsing microarchitecture of the CVAX microprocessor. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
32Fayez Mohamood, Michael B. Healy, Sung Kyu Lim, Hsien-Hsin S. Lee Noise-Direct: A Technique for Power Supply Noise Aware Floorplanning Using Microarchitecture Profiling. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF wire-length driven floorplan, noise-direct, power supply noise aware floorplanning, microarchitecture profiling, aggressive power saving techniques, power delivery network, power consumption reduction, self weighting, correlation weighting, force-directed floorplanning algorithm, power pin affinity, current consumption, di/dt control, supply-noise margin violations, clock-gating, microprocessor designers, power constraints, inductive noise, decoupling capacitances
32Pradip Bose Workload characterization: A key aspect of microarchitecture design. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF target workloads, microarchitecture design, workload characterization
32Roger Espasa, Mateo Valero, James E. Smith 0001 Out-of-Order Vector Architectures. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF memory traffic elimination, microarchitecture, out-of-order execution, memory latency, register renaming, vector architecture, precise interrupts
32Goutam Debnath, Kathy Debnath, Roshan Fernando The Pentium processor-90/100, microarchitecture and low power circuit design. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF BIMOS integrated circuits, Pentium processor-90/100, low power circuit design, BiNMOS process, power consumption reduction, symmetric dual processing feature, multithreaded operating systems, 0.6 micron, 3.3 V, computer architecture, microarchitecture, integrated circuit design, microprocessor chips, 100 MHz
32Timothy J. Stanley, Michael Upton, Patrick Sherhart, Trevor N. Mudge, Richard B. Brown A microarchitectural performance evaluation of a 3.2 Gbyte/s microprocessor bus. Search on Bibsonomy MICRO The full citation details ... 1993 DBLP  DOI  BibTeX  RDF I/O microarchitecture, performance modeling, latency, bandwidth, hardware description language
31Toshinori Sato, Yuji Kunitake Exploiting Input Variations for Energy Reduction. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF typical-case design, dynamic retiming, reliable microarchitecture, robust microarchitecture, DVFS, deep sub-micron
31Todd M. Austin Designing robust microarchitectures. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF computer system design, reliable microarchitecture design, low-power, microarchitecture, system-on-a-chip
28Taniya Siddiqua, Sudhanva Gurumurthi A multi-level approach to reduce the impact of NBTI on processor functional units. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reliability, NBTI
28Pradeep Rao, Kazuaki J. Murakami Empirical Performance Models for Java Workloads. Search on Bibsonomy ARCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Carlos Madriles, Carlos García Quiñones, F. Jesús Sánchez, Pedro Marcuello, Antonio González 0001, Dean M. Tullsen, Hong Wang 0003, John Paul Shen Mitosis: A Speculative Multithreaded Processor Based on Precomputation Slices. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Speculative thread level parallelism, pre-computation slices, thread partitioning, multi-core architecture
28Xin Fu, Wangyuan Zhang, Tao Li 0006, José A. B. Fortes Optimizing Issue Queue Reliability to Soft Errors on Simultaneous Multithreaded Architectures. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Miquel Pericàs, Adrián Cristal, Francisco J. Cazorla, Rubén González 0001, Daniel A. Jiménez, Mateo Valero A Flexible Heterogeneous Multi-Core Architecture. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Alex Aletà, Josep M. Codina, Antonio González 0001, David R. Kaeli Heterogeneous Clustered VLIW Microarchitectures. Search on Bibsonomy CGO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28José Manuel Colmenar, Oscar Garnica, Juan Lanchares, José Ignacio Hidalgo, Guadalupe Miñana, Sonia López Comparing the Performance of a 64-bit Fully-Asynchronous Superscalar Processor versus its Synchronous Counterpart. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Emil Talpes, Diana Marculescu Increased Scalability and Power Efficiency by Using Multiple Speed Pipelines. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Jason Cong, Yiping Fan, Guoling Han, Xun Yang, Zhiru Zhang Architecture and synthesis for on-chip multicycle communication. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Fernando Latorre, José González 0002, Antonio González 0001 Back-end assignment schemes for clustered multithreaded processors. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF clustered, CMP, multithreaded, steering
28Ho-Seop Kim, James E. Smith 0001 Dynamic Binary Translation for Accumulator-Oriented Architectures. Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Perry H. Wang, Hong Wang 0003, Ralph-Michael Kling, Kalpana Ramakrishnan, John Paul Shen Register Renaming and Scheduling for Dynamic Execution of Predicated Code. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Eric Rotenberg AR-SMT: A Microarchitectural Approach to Fault Tolerance in Microprocessors. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF branch prediction and value prediction, trace processors, transient faults, simultaneous multithreading, time redundancy
28Harold W. Lawson Jr. New directions for micro- and system architectures in the 1980s. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1981 DBLP  DOI  BibTeX  RDF
26Radu Marculescu, Ümit Y. Ogras, Li-Shiuan Peh, Natalie D. Enright Jerger, Yatin Vasant Hoskote Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Jason Cong, Yiping Fan, Junjuan Xu Simultaneous resource binding and interconnection optimization based on a distributed register-file microarchitecture. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF distributed register file, Behavioral synthesis, resource binding
26Andrew Brownfield, Cindy Norris LC3uArch: a graphical simulator of the LC-3 microarchitecture. Search on Bibsonomy SIGCSE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF computer architecture, computer organization
26Balaram Sinharoy POWER7 multi-core processor design. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Francesco Vitullo, Nicola E. L'Insalata, Esa Petri, Sergio Saponara, Luca Fanucci, Michele Casula, Riccardo Locatelli, Marcello Coppola Low-Complexity Link Microarchitecture for Mesochronous Communication in Networks-on-Chip. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Man-Lap Li, Pradeep Ramachandran, Swarup Kumar Sahoo, Sarita V. Adve, Vikram S. Adve, Yuanyuan Zhou Trace-based microarchitecture-level diagnosis of permanent hardware faults. Search on Bibsonomy DSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Shantanu Gupta, Shuguang Feng, Amin Ansari, Jason A. Blome, Scott A. Mahlke StageNetSlice: a reconfigurable microarchitecture building block for resilient CMP systems. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reliability, architecture, pipeline, multicore
26Smruti R. Sarangi, Brian Greskamp, Abhishek Tiwari 0002, Josep Torrellas EVAL: Utilizing processors with variation-induced timing errors. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Rafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López 0001, José Duato VB-MT: Design Issues and Performance of the Validation Buffer Microarchitecture for Multithreaded Processors. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Hushrav Mogal, Kia Bazargan Microarchitecture floorplanning for sub-threshold leakage reduction. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Martin Zabel, Thomas B. Preußer, Peter Reichel, Rainer G. Spallek Secure, Real-Time and Multi-Threaded General-Purpose Embedded Java Microarchitecture. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Kiran Puttaswamy, Gabriel H. Loh Thermal Herding: Microarchitecture Techniques for Controlling Hotspots in High-Performance 3D-Integrated Processors. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Phillip Stanley-Marbell, Diana Marculescu Sunflower : Full-System, Embedded Microarchitecture Evaluation. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Kyoung-Hwan Lim, YongHwan Kim, Taewhan Kim Interconnect and Communication Synthesis for Distributed Register-File Microarchitecture. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Christophe Dubach, Timothy M. Jones 0001, Michael F. P. O'Boyle Microarchitectural Design Space Exploration Using an Architecture-Centric Approach. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Xiaoyao Liang, Ramon Canal, Gu-Yeon Wei, David M. Brooks Process Variation Tolerant 3T1D-Based Cache Architectures. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Rajeev Balasubramonian, Naveen Muralimanohar, Karthik Ramani, Liqun Cheng, John B. Carter Leveraging Wire Properties at the Microarchitecture Level. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnections, multiprocessor systems, interprocessor communications, energy-aware systems, interconnection architectures, advanced technologies
26Xinping Zhu, Wei Qin, Sharad Malik Modeling operation and microarchitecture concurrency for communication architectures with application to retargetable simulation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Shobana Padmanabhan, Ron K. Cytron, Roger D. Chamberlain, John W. Lockwood Automatic application-specific microarchitecture reconfiguration. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Jason Cong, Yiping Fan, Wei Jiang Platform-based resource binding using a distributed register-file microarchitecture. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF distributed register file, behavior synthesis, resource binding
26Yongkang Zhu, David H. Albonesi Localized microarchitecture-level voltage management. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Sangyeun Cho, Lei Jin 0002 Managing Distributed, Shared L2 Caches through OS-Level Page Allocation. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Tingting Sha, Milo M. K. Martin, Amir Roth NoSQ: Store-Load Communication without a Store Queue. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Samantika Subramaniam, Gabriel H. Loh Fire-and-Forget: Load/Store Scheduling with No Store Queue at All. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Serkan Ozdemir, Debjit Sinha, Gokhan Memik, Jonathan Adams, Hai Zhou 0001 Yield-Aware Cache Architectures. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Noel Eisley, Li-Shiuan Peh, Li Shang In-Network Cache Coherence. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Weiwu Hu, Fuxin Zhang, Zusong Li Microarchitecture of the Godson-2 Processor. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF superscalar pipeline, dynamic scheduling non-blocking cache, load speculation, branch prediction, out-of-order execution, register renaming
26Emil Talpes, Diana Marculescu Execution cache-based microarchitecture for power-efficient superscalar processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Shobana Padmanabhan, Phillip H. Jones, David V. Schuehler, Scott J. Friedman, Praveen Krishnamurthy, Huakai Zhang, Roger D. Chamberlain, Ron Cytron, Jason E. Fritts, John W. Lockwood Extracting and Improving Microarchitecture Performance on Reconfigurable Architectures. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cycle-accurate hardware profiling, performance, architecture, Reconfigurable
26Weiping Liao, Lei He 0001, Kevin M. Lepak Temperature and supply Voltage aware performance and power modeling at microarchitecture level. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Cheong-Ghil Kim, Dae-Young Jeong, Byung-Gil Kim, Shin-Dug Kim Reconfigurable Microarchitecture Based System-Level Dynamic Power Management SoC Platform. Search on Bibsonomy ICESS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF quarter-pel interpolation, multimedia SoC, low-power, system architecture, H.264/AVC, motion compensation, memory access
26Satish Narayanasamy, Hong Wang 0003, Perry H. Wang, John Paul Shen, Brad Calder A Dependency Chain Clustered Microarchitecture. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Victor Moya Del Barrio, Carlos González, Jordi Roca, Agustín Fernández, Roger Espasa A Single (Unified) Shader GPU Microarchitecture for Embedded Systems. Search on Bibsonomy HiPEAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Qiang Wu, Margaret Martonosi, Douglas W. Clark, Vijay Janapa Reddi, Dan Connors, Youfeng Wu, Jin Lee, David M. Brooks A Dynamic Compilation Framework for Controlling Microprocessor Energy and Performance. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Greg Semeraro, David H. Albonesi, Grigorios Magklis, Michael L. Scott, Steven G. Dropsho, Sandhya Dwarkadas Hiding Synchronization Delays in a GALS Processor Microarchitecture. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Jamison D. Collins, Dean M. Tullsen, Hong Wang 0003 Control Flow Optimization Via Dynamic Reconvergence Prediction. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Nathan Clark, Manjunath Kudlur, Hyunchul Park 0001, Scott A. Mahlke, Krisztián Flautner Application-Specific Processing on a General-Purpose Core via Transparent Instruction Set Customization. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Jedidiah R. Crandall, Frederic T. Chong Minos: Control Data Attack Prevention Orthogonal to Memory Model. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Mariko Sakamoto, Akira Katsuno, Aiichiro Inoue, Takeo Asakawa, Haruhiko Ueno, Kuniki Morita, Yasunori Kimura Microarchitecture and Performance Analysis of a SPARC-V9 Microprocessor for Enterprise Server Systems. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Weiping Liao, Fei Li 0003, Lei He 0001 Microarchitecture level power and thermal simulation considering temperature dependent leakage model. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF simulation, leakage, thermal
26Shekhar Borkar, Tanay Karnik, Siva G. Narendra, James W. Tschanz, Ali Keshavarzi, Vivek De Parameter variations and impact on circuits and microarchitecture. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF high performance deisgn, parameter variation, body bias
26Alex Aletà, Josep M. Codina, Antonio González 0001, David R. Kaeli Instruction Replication for Clustered Microarchitectures. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Daniel A. Jiménez Fast Path-Based Neural Branch Prediction. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Ronald D. Barnes, Erik M. Nystrom, John W. Sias, Sanjay J. Patel, Nacho Navarro, Wen-mei W. Hwu Beating in-order stalls with "flea-flicker" two-pass pipelining. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Jeanine E. Cook, Richard L. Oliver, Eric E. Johnson Toward reducing processor simulation time via dynamic reduction of microarchitecture complexity. Search on Bibsonomy SIGMETRICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Chris J. Thompson, Sahngyun Hahn, Mark Oskin Using modern graphics architectures for general-purpose computing: a framework and analysis. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Gregory A. Muthler, David Crowe, Sanjay J. Patel, Steven Lumetta Instruction fetch deferral using static slack. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Gabriel H. Loh Exploiting data-width locality to increase superscalar execution bandwidth. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Ravi Rajwar, James R. Goodman Speculative lock elision: enabling highly concurrent multithreaded execution. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Harsh Sharangpani, Ken Arora Itanium Processor Microarchitecture. Search on Bibsonomy IEEE Micro The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Jian Shen, Jacob A. Abraham An RTL Abstraction Technique for Processor Microarchitecture Validation and Test Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF microprocessor design validation, coverage measurement, test generation
26Noppanunt Utamaphethai, R. D. (Shawn) Blanton, John Paul Shen Effectiveness of Microarchitecture Test Program Generation. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Mayan Moudgill, Pradip Bose, Jaime H. Moreno Validation of Turandot, a fast processor model for microarchitecture exploration. Search on Bibsonomy IPCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Sergei Y. Larin, Thomas M. Conte Compiler-Driven Cached Code Compression Schemes for Embedded ILP Processors. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Pedro Marcuello, Jordi Tubella, Antonio González 0001 Value Prediction for Speculative Multithreaded Architectures. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Daniel A. Connors, Wen-mei W. Hwu Compiler-Directed Dynamic Computation Reuse: Rationale and Initial Results. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Jay Bharadwaj, Kishore N. Menezes, Chris McKinsey Wavefront Scheduling: Path based Data Representation and Scheduling of Subgraphs. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 2639 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license