The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiprocessor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1971 (15) 1972-1973 (17) 1974-1975 (20) 1976-1977 (40) 1978 (20) 1979 (20) 1980 (29) 1981 (39) 1982 (59) 1983 (53) 1984 (53) 1985 (81) 1986 (98) 1987 (129) 1988 (211) 1989 (197) 1990 (266) 1991 (211) 1992 (242) 1993 (212) 1994 (254) 1995 (246) 1996 (215) 1997 (224) 1998 (141) 1999 (210) 2000 (231) 2001 (202) 2002 (185) 2003 (253) 2004 (245) 2005 (339) 2006 (398) 2007 (417) 2008 (394) 2009 (311) 2010 (210) 2011 (188) 2012 (125) 2013 (132) 2014 (136) 2015 (101) 2016 (101) 2017 (84) 2018 (62) 2019 (71) 2020 (68) 2021 (55) 2022 (59) 2023 (37) 2024 (7)
Publication types (Num. hits)
article(2710) book(12) incollection(58) inproceedings(4758) phdthesis(175)
Venues (Conferences, Journals, ...)
IEEE Trans. Parallel Distribut...(321) IEEE Trans. Computers(317) IPDPS(136) ISCA(135) ICPP(99) DATE(96) CoRR(81) DAC(81) IPPS(78) ECRTS(75) ICS(73) Parallel Comput.(72) RTSS(71) SIGMETRICS(68) Euro-Par(67) RTCSA(63) More (+10 of total 1221)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 8783 occurrences of 2559 keywords

Results
Found 7713 publication records. Showing 7713 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
29Ismail Assayad Joint SW/HW Modelling and Design Exploration Using P-Ware. Search on Bibsonomy COMPSAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SW/HW Design, Scheduling, Exploration, Multiprocessor Embedded Systems
29Andrew Over, Bill Clarke, Peter E. Strazdins A Comparison of Two Approaches to Parallel Simulation of Multiprocessors. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF speedup analysis, Sparc Sulima, UltraSPARC IIICu-based multiprocessor systems, careful locking, simulation time quantum, serial simulation, load-balancing, parallel simulation, parallel discrete event simulation, interconnect model, NAS parallel benchmarks
29Manuel E. Acacio, José González 0002, José M. García 0001, José Duato A Two-Level Directory Architecture for Highly Scalable cc-NUMA Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF directory memory overhead, two-level directory architecture, compressed sharing codes, unnecessary coherence messages, cc-NUMA multiprocessor, Scalability
29Paolo Gai, Marco Di Natale, Giuseppe Lipari, Alberto Ferrari, Claudio Gabellini, Paolo Marceca A comparison of MPCP and MSRP when sharing resources in the Janus multiple-processor on a chip platform. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scheduling, real-time, operating systems, multiprocessor, system-on-a-chip
29Nils Nieuwejaar, David Kotz, Apratim Purakayastha, Carla Schlatter Ellis, Michael L. Best File-Access Characteristics of Parallel Scientific Workloads. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multiprocessor, scientific computing, parallel I/O, workload characterization, Parallel file system
29Chris J. Scheiman, Peter R. Cappello A Processor-Time-Minimal Schedule for 3D Rectilinear Mesh Algorithms. Search on Bibsonomy ASAP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF systolic array, multiprocessor schedule
29Arvind Easwaran, Insik Shin, Insup Lee 0001 Optimal virtual cluster-based multiprocessor scheduling. Search on Bibsonomy Real Time Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Virtual processor clustering, Compositional schedulability analysis, Multiprocessor scheduling, Hierarchical scheduling
29Chi Keong Goh, Eu Jin Teoh, Kay Chen Tan A hybrid evolutionary approach for heterogeneous multiprocessor scheduling. Search on Bibsonomy Soft Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Local search, Heterogeneous, Multiprocessor scheduling, Hybrid evolutionary algorithm, Precedence
29Christian Schäck, Wolfgang Heenes, Rolf Hoffmann A Multiprocessor Architecture with an Omega Network for the Massively Parallel Model GCA. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Global Cellular Automata, FPGA, multiprocessor architecture, omega network
29Abu Zafar M. Shahriar, Md. Mostofa Akbar, Mohammad Sohel Rahman, Muhammad Abdul Hakim Newton A multiprocessor based heuristic for multi-dimensional multiple-choice knapsack problem. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multiprocessor systems, Heuristic algorithms, Knapsack problem, Process synchronization, Inter process communication
29Iyad Al Khatib, Francesco Poletti, Davide Bertozzi, Luca Benini, Mohamed Bechara, Hasan Khalifeh, Axel Jantsch, Rustam Nabiev A multiprocessor system-on-chip for real-time biomedical monitoring and analysis: ECG prototype architectural design space exploration. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hardware space exploration, embedded system design, Multiprocessor system-on-chip, real time analysis, electrocardiogram algorithms
29Akash Kumar 0001, Shakith Fernando, Yajun Ha, Bart Mesman, Henk Corporaal Multiprocessor systems synthesis for multiple use-cases of multiple applications on FPGA. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-application, multiple use-cases, synchronous data-flow graphs, FPGA, multiprocessor systems, multimedia systems, design exploration
29Piotr Switalski, Franciszek Seredynski Generalized Extremal Optimization for Solving Multiprocessor Task Scheduling Problem. Search on Bibsonomy SEAL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multiprocessor task scheduling problem, Generalized Extremal Optimization, GEO, genetic algorithm
29Simon Schliecker, Jonas Rox, Matthias Ivers, Rolf Ernst Providing accurate event models for the analysis of heterogeneous multiprocessor systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF compositional performance analysis, real-time, multiprocessor
29Christof Pitter Time-predictable memory arbitration for a Java chip-multiprocessor. Search on Bibsonomy JTRES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Java, chip-multiprocessor, shared memory, worst-case execution time
29Po-Chun Chang, I-Wei Wu, Jean Jyh-Jiun Shann, Chung-Ping Chung ETAHM: an energy-aware task allocation algorithm for heterogeneous multiprocessor. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF DVS multiprocessor system, task scheduling
29Dipankar Das 0002, P. P. Chakrabarti 0001, Rajeev Kumar 0004 Functional verification of task partitioning for multiprocessor embedded systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Containment checking, state space reduction, UML activity diagrams, multiprocessor embedded systems
29Ahmed Amine Jerraya, Olivier Franza, Markus Levy, Masao Nakaya, Pierre G. Paulin, Ulrich Ramacher, Deepu Talla, Wayne H. Wolf Roundtable: Envisioning the Future for Multiprocessor SoC. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multiprocessor, SoC, multicore, MPSoC, CPU, chip
29Ismail Assayad, Sergio Yovine Modelling and Exploration Environment for Application Specific Multiprocessor Systems. Search on Bibsonomy HASE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Software/Hardware Analysis, Architecture Exploration, Multiprocessor Embedded Systems
29Philip Machanick Design principles for a virtual multiprocessor. Search on Bibsonomy SAICSIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip multiprocessor, instruction-level parallelism
29Anna Swiecicka, Franciszek Seredynski, Albert Y. Zomaya Multiprocessor Scheduling and Rescheduling with Use of Cellular Automata and Artificial Immune System Support. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF genetic algorithm, cellular automata, artificial immune system, Multiprocessor scheduling
29Dong-Ik Ko, Shuvra S. Bhattacharyya The pipeline decomposition tree: : an analysis tool for multiprocessor implementation of image processing applications. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF design space exploration, multiprocessor scheduling, system-level models
29Bogdan Caprita, Jason Nieh, Clifford Stein 0001 Grouped distributed queues: distributed queue, proportional share multiprocessor scheduling. Search on Bibsonomy PODC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF scheduling, quality of service, resource management, multiprocessor scheduling, fair queuing, proportional sharing
29Ilya Issenin, Erik Brockmeyer, Bart Durinck, Nikil D. Dutt Multiprocessor system-on-chip data reuse analysis for exploring customized memory hierarchies. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF customized memory hierarchy, multiprocessor data reuse analysis, scratch pad memory management
29Hiroaki Inoue, Akihisa Ikeno, Masaki Kondo, Junji Sakai, Masato Edahiro FIDES: an advanced chip multiprocessor platform for secure next generation mobile terminals. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF secure mobile terminal, chip multiprocessor, linux
29Baback A. Izadi, Füsun Özgüner An Augmented k-ary Tree Multiprocessor with Real-Time Fault-Tolerant Capability. Search on Bibsonomy J. Supercomput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF k-ary tree, augmented multiprocessor, wave switching, fault tolerance, real time, reconfiguration
29Sang-Il Han, Amer Baghdadi, Marius Bonaciu, Soo-Ik Chae, Ahmed Amine Jerraya An efficient scalable and flexible data transfer architecture for multiprocessor SoC with massive distributed memory. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF data transfer architecture, memory server, message passing, network on chip, network interface, multiprocessor SoC
29Alessio Bechini, Pierfrancesco Foglia, Cosimo Antonio Prete Fine-grain design space exploration for a cartographic SoC multiprocessor. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF SoC Multiprocessors, performance evaluation, embedded systems, trace-driven simulation, multiprocessor architecture
29Abdel Krim Amoura, Evripidis Bampis, Claire Kenyon, Yannis Manoussakis Scheduling Independent Multiprocessor Tasks. Search on Bibsonomy Algorithmica The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Scheduling, Approximation, Multiprocessor tasks
29Aleksei V. Fishkin, Guochuan Zhang On Maximizing the Throughput of Multiprocessor Tasks. Search on Bibsonomy MFCS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF approximation algorithm, complexity, throughput, Multiprocessor task
29Satoshi Matsushita Design Experience of a Chip Multiprocessor Merlot and Expectation to Functional Verification. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF deign experience, CMP, chip multiprocessor, functional verification, speculative multithreading
29Erwin A. de Kock Multiprocessor Mapping of Process Networks: A JPEG Decoding Case Study. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF multiprocessor mapping, system design method, data parallelism, code transformation, process network, task-level parallelism
29Jaewon Oh, Hyokyung Bahn, Chris Wu, Kern Koh Pareto-based soft real-time task scheduling in multiprocessor systems. Search on Bibsonomy APSEC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF soft real-time task scheduling, processor minimization, deadline missing time, Pareto-based genetic algorithm, genetic algorithms, scheduling, performance, real-time systems, parallel programming, parallel program, multiprocessing systems, multiprocessor systems, experimental results, minimisation, Pareto-optimal set
29Jeffrey B. Rothman, Alan Jay Smith Multiprocessor Memory Reference Generation Using Cerberus. Search on Bibsonomy MASCOTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Multiprocessor Memory References, Execution Driven Simulation, Program Tracing
29Hideyuki Takada, Ken Sakamura A novel approach to multiprogrammed multiprocessor synchronization for real-time kernel. Search on Bibsonomy RTSS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multiprogrammed multiprocessor synchronization, inopportune preemption, preemption-safe locking, preemption cost, wait-free operations, complex data structures, SPEPP, Spinning Processor Executes for Preempted Processors, performance measurements, multiprogramming, wait-free synchronization, real-time kernels
29Jan Jonsson, Kang G. Shin A Parametrized Branch-and-Bound Strategy for Scheduling Precedence-Constrained Tasks on a Multiprocessor System. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF real-time scheduling precedence-constrained tasks, branch-and-bound strategy, multiprocessor systems, hard real-time systems
29Debashis Basak, Dhabaleswar K. Panda 0001 Designing Clustered Multiprocessor Systems under Packaging and Technological Advancements. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF k-ary n-cube interconnection, packaging constraints, interconnection networks, parallel architectures, Multiprocessor systems, clustered architectures, hierarchical organization, scalable systems
29Shuvra S. Bhattacharyya, Sundararajan Sriram, Edward A. Lee Minimizing Synchronization Overhead in Statically Scheduled Multiprocessor Systems. Search on Bibsonomy ASAP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multiprocessor implementation, synchronization, dataflow, static scheduling, iterative computation
29Sylvie Norre Static Allocation of Tasks on Multiprocessor Architectures with Interprocessor Communication Delays. Search on Bibsonomy PARLE The full citation details ... 1993 DBLP  DOI  BibTeX  RDF Deterministic scheduling, Task allocation on multiprocessor architectures, Stochastic scheduling
29Roger D. Hersch, B. Tonelli, Bernard Krummenacher A Multiprocessor Multiwindow Visualization Subsystem. Search on Bibsonomy CONPAR The full citation details ... 1992 DBLP  DOI  BibTeX  RDF multiprocessor window display, colour image visualization, high-speed browsing
29Dipak Ghosal, Giuseppe Serazzi, Satish K. Tripathi The Processor Working Set and Its Use in Scheduling Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF processor working set, PWS, parallel program behavior, transputer-based multiprocessor machine, processor allocation strategies, static allocation policy, scheduling, scheduling, multiprocessing systems, transputers
29Emilio Luque, Ana Ripoll, Porfidio Hernández, Tomàs Margalef Impact of task duplication on static-scheduling performance in multiprocessor systems with variable execution-time tasks. Search on Bibsonomy ICS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF multiprocessor systems, heuristic algorithms, list scheduling, task duplication, static scheduling
29Michael L. Dertouzos, Aloysius K. Mok Multiprocessor On-Line Scheduling of Hard-Real-Time Tasks. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF hard-real-time task scheduling, scheduling game representation, a priori knowledge, mutual exclusion constraints, scheduling, real-time systems, multiprocessing systems, multiprogramming, optimal scheduling, multiprocessor environment
29Patrick Valduriez Semi-Join Algorithms for Multiprocessor Systems. Search on Bibsonomy SIGMOD Conference The full citation details ... 1982 DBLP  DOI  BibTeX  RDF semi-join, performance evaluation, filtering, multiprocessor system, relational algebra, join, database machine
29Per Brinch Hansen Multiprocessor Architectures For Concurrent Programs. Search on Bibsonomy ACM Annual Conference (1) The full citation details ... 1978 DBLP  DOI  BibTeX  RDF Hierarchical stores, Language-directed computer design, Monitors, Processes, Concurrent programming, Real-time applications, Multiprocessor architecture
28Jae-Kwon Suh, Heok-Joung Kwon, Chung-Sei Rhee An parallel diagnosis method for an optimal fault-tolerant network. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF parallel diagnosis method, optimal fault-tolerant network, multiprocessor network architecture, adaptive diagnosis approach, binary cube, hypercube, multiprocessor interconnection networks, diagnosable system
28Tamás Bartha Effective Approximate Fault Diagnosis of Systems with Inhomogeneous Test Invalidation. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF system-level fault diagnosis, Parsytec GCel, local information diagnosis, diagnostic algorithm, generalized test invalidation, computational complexity, fault diagnosis, multiprocessor system, multiprocessor architectures, massively parallel computers
28Rainer Hauser, Reinhard Männer, Mikhail Makhaniok NERV: a parallel processor for standard genetic algorithms. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF standard genetic algorithms, MIMD multiprocessor system, NERV hardware, NERV multiprocessor, genetic algorithms, parallel algorithms, parallel architectures, parallel machines, GA, parallel processor, parallel genetic algorithms
28Toshinori Yamada, Koji Yamamoto, Shuichi Ueno Fault-tolerant graphs for hypercubes and tori. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fault-tolerant graphs, fault-tolerant multiprocessor interconnection networks, graph theory, fault tolerant computing, hypercubes, multiprocessor interconnection networks, hypercube networks, subgraph, tori
28Mayez A. Al-Mouhamed, Adel Al-Maasarani Performance Evaluation of Scheduling Precedence-Constained Computations on Message-Passing Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF scheduling precedence-constrained computations, multiprocessor topology, global priority-based schedulingheuristics, generalized list scheduling, backward scheduling, graph-driven scheduling, scheduling, performance evaluation, performance evaluation, computational complexity, multiprocessor, message passing, network topology, time complexity, message-passing systems
28C. Selvakumar, C. Siva Ram Murthy Scheduling Precedence Constrained Task Graphs with Non-Negligible Intertask Communication onto Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF precedence constrained task graphs, scheduling, parallel algorithms, computational complexity, parallel program, graph theory, multiprocessors, multiprocessing systems, heuristic algorithm, heuristic programming, list scheduling, communication channels, multiprocessing programs, completion time, multiprocessor interconnectionnetworks, intertask communication, multiprocessor scheduling problem
28Chih-Ping Chu, Doris L. Carver Parallelizing Subroutines in Sequential Programs. Search on Bibsonomy IEEE Softw. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF IBM computers, subroutine parallelization, execution mode, code restructuring, recursive process, IBM 3090 multiprocessor, common memory, interprocessor synchronization, special memory locations, Alliant FX/8, structured Fortran program, parallel algorithms, parallel programming, interprocessor communication, subroutines, structured programming, Cray X-MP, local memory, sequential programs, shared-memory multiprocessor system
28Zbigniew M. Wójcik, Barbara E. Wójcik Rough Grammar For Efficient and Fault-Tolerant Computing on a Distributed System. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF global load balancing, dynamic task scheduling, multiprocessor machine, rough grammar, rough grammar production rules, pipeline fashion, statically scheduled multiprocessor, decentralized methodology, scheduling, fault tolerance, parallel processing, distributed computation, fault-tolerant computing, fault tolerant computing, concurrent program, grammars, pipeline processing
28Steven L. Scott, Gurindar S. Sohi The Use of Feedback in Multiprocessors and Its Application to Tree Saturation Control. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF tree saturation control, feedback control schemes, hot-spot accesses, feedback, feedback, multiprocessor interconnection networks, multiprocessing systems, multiprocessor systems, multistage interconnection networks
28A. L. Narasimha Reddy, Prithviraj Banerjee Design, Analysis, and Simulation of I/O Architectures for Hypercube. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF I/O architectures, I/O access, disk organizations, scientific workload, performance evaluation, parallelism, data structures, parallel architectures, multiprocessor interconnection networks, multiprocessing systems, memory architecture, matrices, hypercube multiprocessors, multiprocessor network
28Jean-Luc Gaudiot, Andrew Sohn Data-Driven Parallel Production Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF parallel production systems, data-flow principles, high programmability, data-driven principles, RETE match algorithm, actor set, program graph design, tagged data-flow computer, deterministic simulation, artificial intelligence production systems, parallel programming, parallel architectures, expert systems, symbolic computations, symbol manipulation, multiprocessor architecture, numerical computations, multiprocessor environment, data-driven architectures
28Michael Gschwind The Cell Broadband Engine: Exploiting Multiple Levels of Parallelism in a Chip Multiprocessor. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF compute-transfer parallelism, multi-level application parallelism, Chip multiprocessor, Cell Broadband Engine, heterogeneous chip multiprocessor
28Theodore P. Baker An Analysis of EDF Schedulability on a Multiprocessor. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF real-time scheduling, Multiprocessor systems, utilization, multiprocessor scheduling, earliest deadline first, feasibility, deadline scheduling
28Brian A. Malloy, Errol L. Lloyd, Mary Lou Soffa Scheduling DAG's for Asynchronous Multiprocessor Execution. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF asynchronous multiprocessor execution, sequential instructionstream, execution costs, datadependencies, Data General shared memory multiprocessor system, scheduling, scheduling, parallel programming, parallelism, concurrency, shared memory systems, DAG, communication costs, instruction sets, multiprocessing programs, fine grained parallelism
28Chienhua Chen, Dharma P. Agrawal, J. Richard Burke dBCube: A New Class of Hierarchical Multiprocessor Interconnection Networks with Area Efficient Layout. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF dBCube, hierarchical multiprocessor interconnection networks, area efficient layout, node connectivity, compound graph, necklace, performance evaluation, VLSI, graphs, hypercube, multiprocessor interconnection networks, hierarchical networks, wafer scale integration, de Bruijn graph, hypercube topology, Communication locality
28Hong Jiang, Kenneth C. Smith PPMB: A Partial-Multiple-Bus Multiprocessor Architecture with Improved Cost-Effectiveness. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF PPMB, partial-multiple-bus multiprocessor architecture, processor-oriented partial-multiple-bus, memory-oriented partial-multiple-bus, system bandwidth, simulation, performance evaluation, design, performance analysis, interconnection networks, computer architecture, multiprocessor interconnection networks, cost-effectiveness, arbitration
28Ashwani Kumar Ramani, Pradip K. Chande, Pramod C. Sharma A General Model for Performance Investigations of Priority Based Multiprocessor System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF discrete time semi-Markov model, task priorities, crossbar interconnection network, performance evaluation, load balancing, multiprocessor interconnection networks, Markov processes, performance measures, multiprocessing systems, multiprocessor system, task scheduling, performance optimization, system performance
28Peter F. Corbett Rotator Graphs: An Efficient Topology for Point-to-Point Multiprocessor Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF point-to-point multiprocessor networks, directed permutation graphs, Faber-Moore graphs, rotator graphs, one-step fault diagnosable, fault tolerant, topology, multiprocessor interconnection networks, directed graphs, Hamiltonian circuit, optimal routing algorithm
28Suresh Chalasani, Anujan Varma Evaluation of Two Traffic Distribution Strategies for a Dual-Network Multiprocessor System. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF traffic distribution strategies, dual-network multiprocessor system, multiprocessing systems, shared-memory system, multistage networks, multiprocessor interconnectionnetworks
28Kyungsook Y. Lee, Hyunsoo Yoon Indirect Star-Type Networks for Large Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF star network II, large multiprocessor systems, indirect star-type networks, star networks I, star-delta network, star-delta network, unfolding scheme, recursive property, destination tag routing scheme, indirect cube-type networks, performance evaluation, performance, multiprocessor interconnection networks, n-cube
28Jacques Briat, M. Favre, Cláudio F. R. Geyer, Jacques Chassin de Kergommeaux Schheduling of OR-parallel Prolog on a Scalable, Reconfigurable, Distributed-Memory Multiprocessor. Search on Bibsonomy PARLE (2) The full citation details ... 1991 DBLP  DOI  BibTeX  RDF OPERA, OR-parallel Prolog, reconfigurable multiprocessor, distributed-memory, WAM, Supernode, scalable multiprocessor
28Prithviraj Banerjee, Joseph T. Rahmeh, Craig B. Stunkel, V. S. S. Nair, Kaushik Roy 0001, Vijay Balasubramanian, Jacob A. Abraham Algorithm-Based Fault Tolerance on a Hypercube Multiprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Intel iPSC hypercube, fault tolerance, parallel architectures, fault tolerant computing, fast Fourier transform, multiprocessing systems, error detection, matrix multiplication, Gaussian elimination, multiprocessor architecture, hypercube multiprocessor, faulty processors
28Hyunsoo Yoon, Kyungsook Y. Lee, Ming T. Liu Performance Analysis of Multibuffered Packet-Switching Networks in Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF multibuffered packet-switching networks, single-buffered delta networks, performance evaluation, interconnection networks, virtual machines, multiprocessor interconnection networks, packet switching, multiprocessor systems, simulation results, state transition diagram
28Woei Lin, Chuan-lin Wu A Fault-Tolerant Mapping Scheme for a Configurable Multiprocessor System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF fault-tolerant mapping scheme, configurable multiprocessor system, interprocessor connections, linear address space, parallel computation, fault tolerant computing, multiprocessor interconnection networks, configurability, multistage interconnection networks
28Gita Alaghband, Harry F. Jordan Sparse Gaussian Elimination with Controlled Fill-in on a Shared Memory Multiprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF controlled fill-in, ordered compatible set, Markowitz number, limited binary tree search, elimination set, parallel pivoting, stepwise algorithm, application matrices, HEP multiprocessor, parallel algorithms, computational complexity, parallel processing, shared memory multiprocessor, trees (mathematics), heuristic algorithm, matrix algebra, sparse matrix, linear time, sparse Gaussian elimination
28Maheswara R. Samatham, Dhiraj K. Pradhan The De Bruijn Multiprocessor Network: A Versatile Parallel Processing and Sorting Network for VLSI. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF de Bruijn multiprocessor network, versatile parallel processing, N-node linear array, N-node ring, one-step shuffle-exchange network, tight lower bound, parallel processing, VLSI, VLSI, fault tolerant computing, multiprocessor interconnection networks, binary trees, sorting network, layout area
28Giovanni Chiola, Marco Ajmone Marsan, Gianfranco Balbo Product-Form Solution Techniques for the Performance Analysis of Multiple-Bus Multiprocessor Systems with Nonuniform Memory References. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF local balance property, multiple-bus multiprocessor systems, nonuniform memory references, steady-state probability distribution, queuing models with passive resources, recursive solution, processor access rates, memory selection probabilities, first-come-first-served bus scheduling policy, scheduling, performance evaluation, performance analysis, multiprocessor interconnection networks, queueing theory, numerical analysis, product-form solution, exact computation
25Hristo Nikolov, Todor P. Stefanov, Ed F. Deprettere Efficient Automated Synthesis, Programing, and Implementation of Multi-Processor Platforms on FPGA Chips. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Philippas Tsigas, Yi Zhang 0004 Evaluating the performance of non-blocking synchronization on shared-memory multiprocessors. Search on Bibsonomy SIGMETRICS/Performance The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25John L. Gustafson Reevaluating Amdahl's Law. Search on Bibsonomy Commun. ACM The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
25C. Mani Krishna 0001, Kang G. Shin Queueing analysis of a canonical model of real-time multiprocessors. Search on Bibsonomy SIGMETRICS The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
25Piotr Switalski, Franciszek Seredynski Solving multiprocessor scheduling problem with GEO metaheuristic. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Ya-Shu Chen, Li-Pin Chang, Tei-Wei Kuo Multiprocessor frequency locking for real-time task synchronization. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF real-time synchronization, resource management protocol, energy consumption
25Trevor Meyerowitz, Alberto L. Sangiovanni-Vincentelli, Mirko Sauermann, Dominik Langen Source-Level Timing Annotation and Simulation for a Heterogeneous Multiprocessor. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Christof Pitter, Martin Schoeberl Performance evaluation of a java chip-multiprocessor. Search on Bibsonomy SIES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Jeffery A. Brown, Dean M. Tullsen The shared-thread multiprocessor. Search on Bibsonomy ICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF chip multiprocessors, simultaneous multithreading
25Alexandru Andrei, Petru Eles, Zebo Peng, Jakob Rosen Predictable Implementation of Real-Time Applications on Multiprocessor Systems-on-Chip. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Jörg-Christian Niemann, Christian Liß, Mario Porrmann, Ulrich Rückert 0001 A Multiprocessor Cache for Massively Parallel SoC Architectures. Search on Bibsonomy ARCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Jian-Jia Chen, Chuan-Yue Yang, Tei-Wei Kuo, Chi-Sheng Shih 0001 Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Jörg Dümmler, Raphael Kunis, Gudula Rünger A Scheduling Toolkit for Multiprocessor-Task Programming with Dependencies. Search on Bibsonomy Euro-Par The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Vincent W. Freeh, Tyler K. Bletsch, Freeman L. Rawson III Scaling and Packing on a Chip Multiprocessor. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Krutartha Patel, Sridevan Parameswaran, Seng Lin Shee Ensuring secure program execution in multiprocessor embedded systems: a case study. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded system processors, tensilica, security, multiprocessors, code injection attacks
25Mrinmoy Ghosh, Hsien-Hsin S. Lee Virtual Exclusion: An architectural approach to reducing leakage energy in caches for multiprocessor systems. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Jakob Rosen, Alexandru Andrei, Petru Eles, Zebo Peng Bus Access Optimization for Predictable Implementation of Real-Time Applications on Multiprocessor Systems-on-Chip. Search on Bibsonomy RTSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Muhammet Fikret Ercan, Yu-Fai Fung Performance of Particle Swarm Optimization in Scheduling Hybrid Flow-Shops with Multiprocessor Tasks. Search on Bibsonomy ICCSA (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Youfeng Wu, Maurício Breternitz Jr., Victor Ying Impacts of Multiprocessor Configurations on Workloads in Bioinformatics. Search on Bibsonomy SBAC-PAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Arata Shinozaki, Masatoshi Shima 0002, Minyi Guo, Mitsunori Kubo Multiprocessor Simulator System Based on Multi-way Cluster Using Double-buffered Model. Search on Bibsonomy AINA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Seng Lin Shee, Sri Parameswaran Design Methodology for Pipelined Heterogeneous Multiprocessor System. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Fei Sun, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha Application-specific heterogeneous multiprocessor synthesis using extensible processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Alexey N. Salnikov PARUS: A Parallel Programming Framework for Heterogeneous Multiprocessor Systems. Search on Bibsonomy PVM/MPI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Hong Jin, Henry (Hui) Wang, Hongan Wang, Guozhong Dai An ACO-Based Approach for Task Assignment and Scheduling of Multiprocessor Control Systems. Search on Bibsonomy TAMC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Resit Sendag, Ayse Yilmazer, Joshua J. Yi, Augustus K. Uht Quantifying and reducing the effects of wrong-path memory references in cache-coherent multiprocessor systems. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Jing Chen, Jian-Horng Liu Developing Embedded Kernel for System-On-a-Chip Platform of Heterogeneous Multiprocessor Architecture. Search on Bibsonomy RTCSA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Arata Shinozaki, Masatoshi Shima 0002, Minyi Guo, Mitsunori Kubo A High Performance Simulator System for a Multiprocessor System Based on a Multi-way Cluster. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Kyriakos Stavrou, Pedro Trancoso, Paraskevas Evripidou Hardware Budget and Runtime System for Data-Driven Multithreaded Chip Multiprocessor. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 7713 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license