The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for pipeline with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1975 (16) 1976-1978 (16) 1979-1982 (16) 1983-1984 (19) 1985 (17) 1986 (16) 1987 (28) 1988 (43) 1989 (32) 1990 (48) 1991 (21) 1992 (35) 1993 (35) 1994 (50) 1995 (81) 1996 (64) 1997 (93) 1998 (74) 1999 (113) 2000 (112) 2001 (143) 2002 (170) 2003 (232) 2004 (292) 2005 (344) 2006 (416) 2007 (383) 2008 (404) 2009 (327) 2010 (207) 2011 (168) 2012 (184) 2013 (223) 2014 (243) 2015 (254) 2016 (248) 2017 (322) 2018 (397) 2019 (425) 2020 (530) 2021 (695) 2022 (751) 2023 (814) 2024 (194)
Publication types (Num. hits)
article(3792) book(3) data(1) incollection(34) inproceedings(5387) phdthesis(78)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3849 occurrences of 1991 keywords

Results
Found 9295 publication records. Showing 9295 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
31Ivan Stoianov, Lama Nachman, Samuel Madden 0001, Timur Tokmouline PIPENETa wireless sensor network for pipeline monitoring. Search on Bibsonomy IPSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Intel mote platforms, pipeline monitoring, water supply systems, wireless sensor networks
31Anne Benoit, Yves Robert Mapping Pipeline Skeletons onto Heterogeneous Platforms. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Pipeline skeleton, scheduling algorithms, throughput optimization, heterogeneous platforms, complexity results
31Junhao Zheng, David Wu, Don Xie, Wen Gao 0001 A Novel Pipeline Design for H.264 CABAC Decoding. Search on Bibsonomy PCM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF VLSI, pipeline, H.264/AVC, CABAC
31Yang Xiao 0001, Hui Chen 0001, Mohsen Guizani Performance Evaluation of Pipeline Paging under Paging Delay Constraint for Wireless Systems. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Parallel, pipeline, paging, wireless systems
31Man Wang, Zhihui Du, Yinong Chen, Zhili Cheng A SOA Based Pipeline System to Deal with Astronomy Telescope Data. Search on Bibsonomy SOSE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Pipeline Data Processing, Service-Oriented Architecture, Grid Middleware
31Andrew Stephen McGough, Jeremy Cohen 0002, John Darlington, Eleftheria Katsiri, William Lee 0003, Sofia Panagiotidi, Yash Patel An End-to-end Workflow Pipeline for Large-scale Grid Computing. Search on Bibsonomy J. Grid Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF brokering and planning, job launching, workflow pipeline, scheduling, Grid, workflow
31Shadrokh Samavi, Shahram Shirani, Nader Karimi, M. Jamal Deen A Pipeline Architecture for Processing of DNA Microarrays Images. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF image processing, microarray, pipeline processing, DNA, morphological operations
31Hajime Shimada, Hideki Ando, Toshio Shimada Pipeline stage unification: a low-energy consumption technique for future mobile processors. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF future process technology, pipeline stage, dynamic voltage scaling, low-power consumption
31Victor Varshavsky, Vyacheslav Marakhovsky GALA Approach in Design of Asynchronous Control for Counterflow Pipeline Processor. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF GALA - Globally Asynchronous Locally Arbitrary, Counterflow Pipeline Processor, Synchronous Prototype, Arbitration, Asynchronous Design
31Prabhat Mishra 0001, Hiroyuki Tomiyama, Ashok Halambi, Peter Grun, Nikil D. Dutt, Alexandru Nicolau Automatic Modeling and Validation of Pipeline Specifications Driven by an Architecture Description Language. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Pipeline Verification, Architecture Description Language
31Jakob Engblom, Andreas Ermedahl Pipeline Timing Analysis Using a Trace-Driven Simulator. Search on Bibsonomy RTCSA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF pipeline analysis, embedded systems, WCET, hard real-time
31Omer Boehm, Gadi Haber, Helena Kosachevsky Code alignment for architectures with pipeline group dispatching. Search on Bibsonomy SYSTOR The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
31Brian P. Bailey, Eric Horvitz What's your idea?: a case study of a grassroots innovation pipeline within a large software company. Search on Bibsonomy CHI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF idea management, creativity, innovation, organizations
31Ke Xu 0014, Chiu-sing Choy A Five-Stage Pipeline, 204 Cycles/MB, Single-Port SRAM-Based Deblocking Filter for H.264/AVC. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31William Thies, Vikram Chandrasekhar, Saman P. Amarasinghe A Practical Approach to Exploiting Coarse-Grained Pipeline Parallelism in C Programs. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Lei Wang 0011, Zhiying Wang 0003, Kui Dai An Approximate Method for Performance Evaluation of Asynchronous Pipeline Rings. Search on Bibsonomy CIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Mario Baldi, Juan Carlos De Martin, Enrico Masala, Andrea Vesco Distortion-aware video communication with pipeline forwarding. Search on Bibsonomy ACM Multimedia The full citation details ... 2006 DBLP  DOI  BibTeX  RDF quality of service, video streaming, multimedia networking
31Marc Tremblay A modern high-performance processor pipeline. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Hemangee K. Kapoor Formal Modelling and Verification of an Asynchronous DLX Pipeline. Search on Bibsonomy SEFM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Jirada Kuntraruk, William M. Pottenger, Andrew M. Ross Application Resource Requirement Estimation in a Parallel-Pipeline Model of Execution. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF measurement and modeling of multiple-processor systems, Performance analysis, distributed application
31Miguel Lino Silva, João Canas Ferreira Using a Tightly-Coupled Pipeline in Dynamically Reconfigurable Platform FPGAs. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Manesh J. Shah, Sergei Passovets, Dongsup Kim, Kyle Ellrott, Li Wang 0008, Inna Vokler, Philip F. LoCascio, Dong Xu 0002, Ying Xu 0001 A Computational Pipeline for Protein Structure Prediction and Analysis at Genome Scale. Search on Bibsonomy BIBE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Aristides Efthymiou, Jim D. Garside Adaptive Pipeline Structures fo Speculation Control. Search on Bibsonomy ASYNC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Tsutomu Maruyama, Tsutomu Hoshino A C to HDL Compiler for Pipeline Processing on FPGAs. Search on Bibsonomy FCCM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31André Seznec, Yvon Jégou Towards a large number of pipeline processors in a tightly coupled multiprocessor using no cache. Search on Bibsonomy ICS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
31C. V. Ramamoorthy, Hon Fung Li Efficiency in generalized pipeline networks. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
29Eric Sprangle, Doug Carmean Increasing Processor Performance by Implementing Deeper Pipelines. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Pipeline depth, Pipeline
29Iain Bate, Guillem Bernat, G. Murphy, Peter P. Puschner Low-level analysis of a portable Java byte code WCET analysis framework. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-level analysis, portable Java byte code, machine-independent program flow analysis, machine-dependent timing analysis, worst-case execution frequencies, platform-dependent information, processor pipeline, platform-independent approach, Java, timing, software performance evaluation, pipeline processing, software portability, program diagnostics, worst-case execution time analysis, program constructs
29Francesco Gregoretti, F. Intini, Luciano Lavagno, Roberto Passerone, Leonardo Maria Reyneri Design and Implementation of the Control Structure of the PAPRICA-3 Processor. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF PAPRICA-3 processor, instruction execution, linear array processor PAPRICA-9, multi path queue structure, real-time systems, image processing, embedded systems, parallel architectures, image recognition, pipeline processing, array processor, pipeline architecture, application programs, real time image processing, control structure, image processing equipment, algorithmic efficiency
29Neil Garner, David M. Howard 0001, P. A. Barrett, Andrew M. Tyrrell A Parallel Processing Environment for Speech Signal Processing Applications. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parallel processing environment, speech signal processing applications, 1 dimensional signal processing problem, multiple inputs, multiple outputs, interconnected signal processing functions, MIMD format, user defined structure, communication based parallel processing format, serial machine, vocoders, filterbank speech analysis, T800 transputers, parallel programming, software tool, speech recognition, pipeline processing, speech processing, transputers, transputers, Occam, speech enhancement, transputer systems, pipeline parallelism
29Chetana Nagendra, Robert Michael Owens, Mary Jane Irwin Design tradeoffs in high speed multipliers and FIR filters. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF high speed multipliers, high speed FIR filters, modified Booth recoding, pipeline granularity, transistor count, activity factor reduction, guarded evaluation, gate-level pipelining, half-bit level pipelining, bit-level pipelining, delay, clocking, digital filters, FIR filters, multiplying circuits, power dissipation, design tradeoffs, pipeline arithmetic, operation speed
29Sheng-Yih Guan, Avi Bleiweiss, Richard Lipes Parallel implementation of volume rendering on Denali graphics systems. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF computer graphic equipment, computer peripheral equipment, Denali graphics systems, 3D graphics systems, texture mapping capability, standard graphics pipeline, pipelined parallel architecture, Kubota Graphics Corporation, maximum intensity projection, iso-surface rendering, partitioning data allocation scheme, texture memory requirements, transformation and rasterization modules, frame buffer modules, parallel node, general purpose RISC processor, object parallelism, hardware ASICs, pixel memory, pixel parallelism, resource allocation, parallel architectures, volume rendering, pipeline processing, dynamic load balancing, image texture, parallel implementation, rendering (computer graphics), reduced instruction set computing, static load balancing
29Jayesh Siddhiwala, Liang-Fang Chao Scheduling conditional data-flow graphs with resource sharing. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF conditional data-flow graphs, resource sharing algorithm, pipeline scheduling algorithms, loop constructs, condition vector, dynamic resource sharing, rotation scheduling technique, parallel algorithms, data structures, data structure, resource allocation, high level synthesis, high level synthesis, processor scheduling, pipeline processing, data flow graphs, loop pipelining, conditional branches
29Ronald Jones, Imants D. Svalbe Morphological Filtering as Template Matching. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF binary morphology, pattern recognition, image processing, mathematical morphology, pipeline processing, pipeline processing, template matching, filtering and prediction theory, table lookup, lookup table, morphological filtering
29Ben-Kwei Jang, Roland T. Chin One-Pass Parallel Thinning: Analysis, Properties, and Quantitative Evaluation. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF one-pass parallel thinning, unit-width convergence, pipeline processing model, skeletal connectivity, parallel algorithms, image recognition, shape analysis, pipeline processing, convergence of numerical methods, noise immunity, medial axis approximation
28Shantanu Gupta, Shuguang Feng, Amin Ansari, Jason A. Blome, Scott A. Mahlke StageNetSlice: a reconfigurable microarchitecture building block for resilient CMP systems. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reliability, architecture, pipeline, multicore
28Thomas R. Puzak, Allan Hartstein, Philip G. Emma, Viji Srinivasan, Jim Mitchell An analysis of the effects of miss clustering on the cost of a cache miss. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF algorithm, cache, pipeline, spectrogram
28Hiroaki Harai, Masayuki Murata 0001 High-speed buffer management for 40 Gb/s-based photonic packet switches. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF photonic packet switching, variable-length optical packet, parallel processing, buffer management, pipeline processing
28Haoyu Peng, Hua Xiong, Jiaoying Shi Parallel-SG: research of parallel graphics rendering system on PC-Cluster. Search on Bibsonomy VRCIA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dynamic rendering team, optimized parallel R-C-D pipeline, load-balancing, PC-Cluster, hybrid architecture
28Zhigeng Pan, Xiaochao Wei, Jian Yang Geometric model reconstruction from streams of DirectX 3D game application. Search on Bibsonomy Advances in Computer Entertainment Technology The full citation details ... 2005 DBLP  DOI  BibTeX  RDF DirectX 9, geometric model, reconstruction, graphics pipeline
28Christine W. Chan Towards Ontology Construction for an Industrial Domain. Search on Bibsonomy IEEE ICCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF natural gas pipeline, expert system, knowledge modeling
28Chen-Han Kuo, Damon Shing-Min Liu A Dynamic Load-Balancing Approach for Efficient Remote Interactive Visualization. Search on Bibsonomy ITCC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF visualization pipeline, load-balancing, Scientific visualization, Java RMI, Visualization Toolkit
28Wenyi Feng, Fred J. Meyer, Wei-Kang Huang, Fabrizio Lombardi On the Complexity of Sequential Testing in Configurable FPGAs. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF FPGA, pipeline, PLD, sequential testing, iterative array
28David A. Kearney, Neil W. Bergmann Performance evaluation of asynchronous logic pipelines with data dependent processing delays. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF asynchronous logic pipelines, data dependent processing delays, logic stages, data dependent delay, two valued random variable, performance evaluation, performance evaluation, asynchronous circuits, pipeline processing, latches
28Guenter Klas Protocol Optimization for a Packet-Switched Bus in Case of Burst Traffic by Means of GSPN. Search on Bibsonomy Application and Theory of Petri Nets The full citation details ... 1993 DBLP  DOI  BibTeX  RDF Bus Pipeline, Performance Modeling, Multiprocessor Systems, GSPN
27Seng Lin Shee, Andrea Erdos, Sri Parameswaran Architectural Exploration of Heterogeneous Multiprocessor Systems for JPEG. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design, architecture, multiprocessor, SoC, pipelines, ASIPs, heterogeneous system
27Hoon Lim, Jae Youn Choi, Young Sik Kwon, Eui-Jung Jung, Byung-Ju Yi SLAM in indoor pipelines with 15mm diameter. Search on Bibsonomy ICRA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Montek Singh, Steven M. Nowick The Design of High-Performance Dynamic Asynchronous Pipelines: High-Capacity Style. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Thanaa M. Ghanem, Moustafa A. Hammad, Mohamed F. Mokbel, Walid G. Aref, Ahmed K. Elmagarmid Incremental Evaluation of Sliding-Window Queries over Data Streams. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF pipelined query execution, negative tuples, Data stream management systems
27Girish Venkataramani, Seth Copen Goldstein Operation chaining asynchronous pipelined circuits. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Margarita Amor, Montserrat Bóo, Wolfgang Straßer, Johannes Hirche, Michael C. Doggett A Meshing Scheme for Efficient Hardware Implementation of Butterfly Subdivision Using Displacement Mapping. Search on Bibsonomy IEEE Computer Graphics and Applications The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mesh storage, graphics hardware, displacement mapping, Adaptive subdivision
27Michael D. Powell, Ethan Schuchman, T. N. Vijaykumar Balancing Resource Utilization to Mitigate Power Density in Processor Pipelines. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Animesh Datta, Swarup Bhunia, Saibal Mukhopadhyay, Kaushik Roy 0001 A Statistical Approach to Area-Constrained Yield Enhancement for Pipelined Circuits under Parameter Variations. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Tali Moreshet, R. Iris Bahar Effects of speculation on performance and issue queue design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Victor V. Zyuban, David M. Brooks, Viji Srinivasan, Michael Gschwind, Pradip Bose, Philip N. Strenski, Philip G. Emma Integrated Analysis of Power and Performance for Pipelined Microprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27José Manuel Colmenar, Oscar Garnica, Sonia López, José Ignacio Hidalgo, Juan Lanchares, Román Hermida Empirical Characterization of the Latency of Long Asynchronous Pipelines with Data-Dependent Module Delays. Search on Bibsonomy PDP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27George Kola, Tevfik Kosar, Miron Livny Phoenix: Making Data-Intensive Grid Applications Fault-Tolerant. Search on Bibsonomy GRID The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Christian Jacobi 0002 Formal Verification of Complex Out-of-Order Pipelines by Combining Model-Checking and Theorem-Proving. Search on Bibsonomy CAV The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Asger Munk Nielsen, David W. Matula, Chung Nan Lyu, Guy Even An IEEE Compliant Floating-Point Adder that Conforms with the Pipelined Packet-Forwarding Paradigm. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF IEEE floating-point rounding, Floating-point arithmetic, redundant number representations, floating-point addition
27Gang Qu 0001, Darko Kirovski, Miodrag Potkonjak, Mani B. Srivastava Energy minimization of system pipelines using multiple voltages. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26A. Folorunso Olufemi, Mohd Shahrizal Sunar, Sarudin Kari An Algorithm for Treating Uncertainties in the Visualization of Pipeline Sensors' Datasets. Search on Bibsonomy IVIC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Uncertainty Visualisation, Nuggets, Pipeline-Sensors, Signal Dataspace, LDS
26MyeongGyu Jeong, Toru Nakura, Makoto Ikeda, Kunihiro Asada Moebius circuit: dual-rail dynamic logic for logic gate level pipeline with error gate search feature. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dcvsl, high speed digital, pipeline, error detect, soft error
26Anne Benoit, Harald Kosch, Veronika Rehn-Sonigo, Yves Robert Bi-criteria Pipeline Mappings for Parallel Image Processing. Search on Bibsonomy ICCS (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF workflow application, JPEG encoding, optimization, pipeline, multi-criteria
26Raghid Shreih, Maitham Shams Implementation of asynchronous pipeline circuits in multi-threshold CMOS technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF c-element, gasp, low power, pipeline, asynchronous, multi-threshold
26Antonio J. Ginés, Eduardo J. Peralías, Adoración Rueda Novel swapping technique for background calibration of capacitor mismatching in pipeline ADCS. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF analogue-to-digital converter, background (on-line) calibration, capacitor swapping technique, foreground (off-line) calibration, adaptive system, pipeline ADC
26Shiann-Tsong Sheu, Yue-Ru Chuang A Pipeline-Based Genetic Algorithm Accelerator for Time-Critical Processes in Real-Time Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF genetic algorithms (GAs), time-critical processes, optimization, Evolutionary computing, pipeline
26Edward Siomacco, John L. Kundert-Gibbs, Timothy A. Davis 0002 Developing efficient pipeline tools for animation production. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF production pipeline, computer animation, graphics
26Eric L. Hill, Mikko H. Lipasti Stall cycle redistribution in a transparent fetch pipeline. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF pipeline gating, microarchitecture, dynamic power, instruction fetch
26Vahid Majidzadeh, Omid Shoaei A power optimized design methodology for low-distortion sigma-delta-pipeline ADCs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF reduced-sample-rate architectures, sigma-delta-pipeline ADCs, power optimization
26Nathaniel Duca, Krzysztof Niski, Jonathan Bilodeau, Matthew Bolitho, Yuan Chen, Jonathan D. Cohen 0001 A relational debugging engine for the graphics pipeline. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF visualization, debugging, SQL, streaming, graphics hardware, SIMD, relational algebra, graphics pipeline
26Malay Kumar Pakhira, Rajat K. De A hardware pipeline for function optimization using genetic algorithms. Search on Bibsonomy GECCO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hardware pipeline, pipelined GA, stochastic selection, genetic algorithms, function optimization
26Samiran Halder, Arindrajit Ghosh, Ravi Sankar Prasad, Anirban Chatterjee, Swapna Banerjee A 160MSPS 8-Bit Pipeline Based ADC. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Double sampling Sample-and-Hold, Multiplying digital-to-analog converter, Pipeline architecture, Comparator
26Antonio J. Ginés, Eduardo J. Peralías, Adoración Rueda Digital Background Gain Error Correction in Pipeline ADCs. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Background Calibration, On-line Calibration, Analog-to-Digital Converter, Pipeline ADC
26Andreas Gerndt, Mark Asbach, Torsten W. Kuhlen, Christian H. Bischof, Stefan Lankes, Thomas Bemmerl Conceptual design and implementation of a pipeline-based VR-system parallelized by CORBA, and comparison with existing approaches. Search on Bibsonomy VRCAI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF visualization pipeline, virtual reality, parallelization, MPI, CORBA, CORBA
26SangMin Shim, Soo-Mook Moon Split-Path Enhanced Pipeline Scheduling. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multipath loops, enhanced pipeline scheduling, all-path pipelining, Instruction-level parallelism, software pipelining, modulo scheduling
26Xingjun Wu, Hongyi Chen, Yihe Sun, Weixin Gai A Fully-Pipeline Linear Systolic Architecture for Modular Multiplier in Public-Key Crypto-Systems. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF public-key crypto-system, systolic array, modular-multiplication, pipeline architecture, modular-exponentiation
26Antonio J. Ginés, Eduardo J. Peralías, Adoración Rueda Digital Background Calibration Technique for Pipeline ADCs with Multi-Bit Stages. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Background Calibration, Analog-to-Digital Converter, Pipeline ADC, LMS algorithm
26Michael D. Powell, T. N. Vijaykumar Pipeline muffling and a priori current ramping: architectural techniques to reduce high-frequency inductive noise. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF a priori current ramping, pipeline muffling, leakage, decoupling capacitors, inductive noise
26Suhyun Kim, Soo-Mook Moon, Jinpyo Park, Kemal Ebcioglu Unroll-Based Copy Elimination for Enhanced Pipeline Scheduling. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF enhanced pipeline scheduling, unrolling, modulo variable expansion, iterated coalescing, register allocation, Software pipelining, modulo scheduling, renaming, coalescing
26Herbert Grünbacher, Maziar Khosravipour WinDLX and MIPSim Pipeline Simulators for Teaching Computer Architecture. Search on Bibsonomy ECBS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF teaching computer architecture, teaching computer organisation, teaching pipelining, DLX architecture, pipeline visualisation, WinDLX, MIPSim, ECBS
26Kevin P. Acken, Mary Jane Irwin, Robert Michael Owens, Amulya K. Garga Architectural Optimizations For A Floating Point Multiply-Accumulate Unit In A Graphics Pipeline. Search on Bibsonomy ASAP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF floating point multiply-accumulate unit, three-dimensional graphics engines, normalized space, virtual reality, virtual reality, parallelism, computer graphics, scientific visualization, matrix multiplication, matrix multiplications, data visualisation, floating point arithmetic, architectural optimizations, graphics pipeline
26Kok Kin Kee, Salim Hariri Efficient communication algorithms for pipeline multicomputers. Search on Bibsonomy SC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF pipeline multicomputer, point-to-point routing, distributed-memory, communication algorithms
26Kow C. Chang Stability conditions for a pipeline polling scheme in satellite communications. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF cyclic-service system, pipeline polling, Stability, satellite communications, reservation scheme
25Michael Callahan, Martin J. Cole, Jason F. Shepherd, Jeroen G. Stinstra, Chris R. Johnson 0001 A meshing pipeline for biomedical computing. Search on Bibsonomy Eng. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Young Sik Kwon, Byung-Ju Yi The kinematic modeling and optimal paramerization of an omni-directional pipeline robot. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Hyunchul Park 0001, Yongjun Park 0001, Scott A. Mahlke Polymorphic pipeline array: a flexible multicore accelerator with virtualized execution for mobile multimedia applications. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF programmable accelerator, virtualization, software pipelining
25Tomoya Ishimori, Hideki Yamada, Yuichiro Shibata, Yasunori Osana, Masato Yoshimi, Yuri Nishikawa, Hideharu Amano, Akira Funahashi, Noriko Hiroi, Kiyoshi Oguri Pipeline Scheduling with Input Port Constraints for an FPGA-Based Biochemical Simulator. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Eric Chun, Zeshan Chishti, T. N. Vijaykumar Shapeshifter: Dynamically changing pipeline width and speed to address process variations. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Keigo Hirakawa, Patrick J. Wolfe Advancing the digital camera pipeline for mobile multimedia: Key challenges from a signal processing perspective. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Horacio González-Vélez, Murray Cole An adaptive parallel pipeline pattern for grids. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Radoslaw Mantiuk, Dawid Pajak Acceleration of High Dynamic Range Imaging Pipeline Based on Multi-threading and SIMD Technologies. Search on Bibsonomy ICCS (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-threading architecture, computer visualization, image processing, high dynamic range imaging, SIMD architecture, SSE
25Patricio Yankilevich, Paola R. Barrero, Igor Zwir An Integrated Time Series Gene Expression Data Analysis Pipeline with a Fuzzy Clustering Method to Assess Expression Patterns. Search on Bibsonomy FUZZ-IEEE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Takeshi Shiro, Masaaki Abe, Keishi Sakanushi, Yoshinori Takeuchi, Masaharu Imai A Processor Generation Method from Instruction Behavior Description Based on Specification of Pipeline Stages and Functional Units. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Hans Vandierendonck, Philippe Manet, Thibault Delavallee, Igor Loiselle, Jean-Didier Legat By-passing the out-of-order execution pipeline to increase energy-efficiency. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF instruction wake-up, energy-efficiency, instruction scheduling, out-of-order execution
25Jatan P. Shah, Rama Sangireddy Higher Clock Rate at Comparable IPC Through Reduced Circuit Complexity in Instruction Format Based Pipeline Clustering. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Thomas R. Puzak, Allan Hartstein, Philip G. Emma, Viji Srinivasan, Arthur Nadas Pipeline spectroscopy. Search on Bibsonomy Experimental Computer Science The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cost of a miss, probability transition matrix, cache, convex combination
25Kuan-Wei Cheng, Tzong-Yen Lin, Rong-Guey Chang Compiler Support for Dynamic Pipeline Scaling. Search on Bibsonomy EUC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Thomas Lenart, Viktor Öwall Architectures for Dynamic Data Scaling in 2/4/8K Pipeline FFT Cores. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Sangyun Kim 0001, Peter A. Beerel Pipeline optimization for asynchronous circuits: complexity analysis and an efficient optimal algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Xiaojun Wu, Osamu Takizawa, Takashi Matsuyama Parallel Pipeline Volume Intersection for Real-Time 3D Shape Reconstruction on a PC Cluster. Search on Bibsonomy ICVS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 9295 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license