The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase single-threaded (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1997 (15) 1998-2000 (15) 2001-2003 (21) 2004 (17) 2005 (21) 2006 (24) 2007 (31) 2008 (21) 2009 (20) 2010-2014 (16) 2016-2024 (12)
Publication types (Num. hits)
article(33) inproceedings(179) phdthesis(1)
Venues (Conferences, Journals, ...)
HPCA(8) MICRO(8) ASPLOS(7) ISCA(7) PLDI(6) PPoPP(6) CGO(4) CoRR(4) ICS(4) IEEE Trans. Parallel Distribut...(4) ISMM(4) LCPC(4) PACT(4) ACM Trans. Archit. Code Optim.(3) CASES(3) FCCM(3) More (+10 of total 119)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 250 occurrences of 195 keywords

Results
Found 213 publication records. Showing 213 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Ron Gabor, Shlomo Weiss, Avi Mendelson Fairness and Throughput in Switch on Event Multithreading. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Theo Ungerer, Borut Robic, Jurij Silc A survey of processors with explicit multithreading. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interleaved multithreading, simultaneous multithreading, Blocked multithreading
12Jochen Kreuzinger, Theo Ungerer Context-Switching Techniques for Decoupled Multithreaded Processors. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10N. S. L. Phani Kumar, Sanjiv Satoor, Ian Buck Fast Parallel Expectation Maximization for Gaussian Mixture Models on GPUs Using CUDA. Search on Bibsonomy HPCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Jeffrey R. Diamond, Behnam Robatmili, Stephen W. Keckler, Robert A. van de Geijn, Kazushige Goto, Doug Burger High performance dense linear algebra on a spatially distributed processor. Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF gotoblas, grid processors, hybrid dataflow, matrix multiply, tile based architecture, instruction level parallelism, on-chip networks, dense linear algebra
10Yi Ma, Hongliang Gao, Martin Dimitrov, Huiyang Zhou Optimizing Dual-Core Execution for Power Efficiency and Transient-Fault Recovery. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Multiple data stream architectures, fault tolerance, low-power design
10Mariusz Nowostawski, Martin K. Purvis Evolution and Hypercomputing in Global Distributed Evolvable Virtual Machines Environment. Search on Bibsonomy ESOA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Nathan Tuck, Dean M. Tullsen Multithreaded Value Prediction. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Ronald N. Kalla, Balaram Sinharoy, Joel M. Tendler IBM Power5 Chip: A Dual-Core Multithreaded Processor. Search on Bibsonomy IEEE Micro The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10John S. Seng, Dean M. Tullsen, George Z. N. Cai Power-Sensitive Multithreaded Architecture. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Todd A. Anderson 0001 Optimizations in a private nursery-based garbage collector. Search on Bibsonomy ISMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF garbage collection, functional languages
9Serguei A. Mokhov Evolution of MARF and its NLP framework. Search on Bibsonomy C3S2E The full citation details ... 2010 DBLP  DOI  BibTeX  RDF MARF, software systems evolution, statistical natural language processing (NLP)
9Major Bhadauria, Sally A. McKee An approach to resource-aware co-scheduling for CMPs. Search on Bibsonomy ICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF scheduling, performance, energy efficiency, CMP
9David A. Koufaty, Dheeraj Reddy, Scott Hahn Bias scheduling in heterogeneous multi-core architectures. Search on Bibsonomy EuroSys The full citation details ... 2010 DBLP  DOI  BibTeX  RDF scheduling, heterogeneous architectures
9Tom Bergan, Owen Anderson, Joseph Devietti, Luis Ceze, Dan Grossman CoreDet: a compiler and runtime system for deterministic multithreaded execution. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF compilers, multithreading, multicore, determinism
9Colin J. Ihrig, Rami G. Melhem, Alex K. Jones Automated modeling and emulation of interconnect designs for many-core chip multiprocessors. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF simulation, interconnection network, emulation, multi-core, many-core
9Siddhartha Chhabra, Brian Rogers, Yan Solihin, Milos Prvulovic Making secure processors OS- and performance-friendly. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Secure processor architectures, memory encryption, memory integrity verification, virtualization
9Alex Shye, Joseph Blomstedt, Tipp Moseley, Vijay Janapa Reddi, Daniel A. Connors PLR: A Software Approach to Transient Fault Tolerance for Multicore Architectures. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Abhishek Udupa, R. Govindarajan, Matthew J. Thazhuthaveetil Synergistic execution of stream programs on multicores with accelerators. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CUDAa, partitioning, software pipelining, stream programming, GPU programming
9Kim M. Hazelwood, Greg Lueck, Robert Cohn Scalable support for multithreaded applications on dynamic binary instrumentation systems. Search on Bibsonomy ISMM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic binary instrumentation, scalability, multithreading, memory management
9P. Ezudheen, Priya Chandran, Joy Chandra, Biju Puthur Simon, Deepak Ravi Parallelizing SystemC Kernel for Fast Hardware Simulation on SMP Machines. Search on Bibsonomy PADS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF OSCI, Core affinity, SoC, SystemC, SMP, TLM
9Nehir Sönmez, Tim Harris 0001, Adrián Cristal, Osman S. Unsal, Mateo Valero Taking the heat off transactions: Dynamic selection of pessimistic concurrency control. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Jan Wloka, Manu Sridharan, Frank Tip Refactoring for reentrancy. Search on Bibsonomy ESEC/SIGSOFT FSE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reentrant code, program transformation, refactoring
9Mikhail Kondratyev, Maxim Garifullin Parallel Discrete Event Simulation with AnyLogic. Search on Bibsonomy PaCT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF AnyLogic, agent based simulation, Time Warp, parallel discrete event simulation, Java RMI, epidemic
9Daniel Luchaup, Randy Smith, Cristian Estan, Somesh Jha Multi-byte Regular Expression Matching with Speculation. Search on Bibsonomy RAID The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallel pattern matching, speculative pattern matching, multi-byte, multi-byte matching, regular expressions, low latency
9Ganesh Bikshandi, José G. Castaños, Sreedhar B. Kodali, V. Krishna Nandivada, Igor Peshansky, Vijay A. Saraswat, Sayantan Sur, Pradeep Varma, Tong Wen Efficient, portable implementation of asynchronous multi-place programs. Search on Bibsonomy PPoPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF apgas, hpc challenge, spmd, compiler, stream, hpc, asynchrony, random access, runtime, pgas, x10, fft
9Seunghwa Kang, David A. Bader An efficient transactional memory algorithm for computing minimum spanning forest of sparse graphs. Search on Bibsonomy PPoPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF minimum spanning forest, transactional memory, minimum spanning tree
9Carlos Madriles, Carlos García Quiñones, F. Jesús Sánchez, Pedro Marcuello, Antonio González 0001, Dean M. Tullsen, Hong Wang 0003, John Paul Shen Mitosis: A Speculative Multithreaded Processor Based on Precomputation Slices. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Speculative thread level parallelism, pre-computation slices, thread partitioning, multi-core architecture
9Ulrich Drepper Parallel Programming with Transactional Memory. Search on Bibsonomy ACM Queue The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9James C. Brodman, Basilio B. Fraguela, María Jesús Garzarán, David A. Padua Design Issues in Parallel Array Languages for Shared Memory. Search on Bibsonomy SAMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallel programming, shared memory, tiling, data parallelism
9Easwaran Raman, Guilherme Ottoni, Arun Raman, Matthew J. Bridges, David I. August Parallel-stage decoupled software pipelining. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF doall, dswp, tlp, automatic parallelization, multi-core architectures, pipelined parallelism
9M. Wasiur Rashid, Michael C. Huang 0001 Supporting highly-decoupled thread-level redundancy for parallel programs. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Toshinori Sato, Toshimasa Funaki Dependability, power, and performance trade-off on a multicore processor. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9John Cieslewicz, Kenneth A. Ross Data partitioning on chip multiprocessors. Search on Bibsonomy DaMoN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Ravi Chugh, Jan Wen Voung, Ranjit Jhala, Sorin Lerner Dataflow analysis for concurrent programs using datarace detection. Search on Bibsonomy PLDI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF locksets, interprocedural analysis, multithreaded programs, summaries
9Jörg Mische, Sascha Uhrig, Florian Kluge, Theo Ungerer Exploiting spare resources of in-order SMT processors executing hard real-time threads. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Pierre Duquesne, Ciarán Bryce A Language Model for Dynamic Code Updating. Search on Bibsonomy HotSWUp The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Christoph von Praun, Rajesh Bordawekar, Calin Cascaval Modeling optimistic concurrency using quantitative dependence analysis. Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF available parallelism, dependence density, transactional memory, dependence analysis, program parallelization, optimistic concurrency, implicit parallelism
9Bryan Catanzaro, Kurt Keutzer, Bor-Yiing Su Parallelizing CAD: a timely research agenda for EDA. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallelization, framework, pattern, manycore
9Shangping Ren, Jerzy Nogiec Developing Concurrent Applications on Emerging Multicore Platforms. Search on Bibsonomy CISIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Miquel Moretó, Francisco J. Cazorla, Alex Ramírez, Mateo Valero Online Prediction of Applications Cache Utility. Search on Bibsonomy ICSAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Edmund S. L. Lam, Martin Sulzmann A concurrent constraint handling rules implementation in Haskell with software transactional memory. Search on Bibsonomy DAMP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF parallel implementation of concurrent language
9Martin Labrecque, J. Gregory Steffan Improving Pipelined Soft Processors with Multithreading. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Roger Moussali, Nabil Ghanem, Mazen A. R. Saghir Microarchitectural Enhancements for Configurable Multi-Threaded Soft Processors. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Matt T. Yourst PTLsim: A Cycle Accurate Full System x86-64 Microarchitectural Simulator. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF client-server networked benchmark, PTLsim, cycle accurate full system, x86-64 microarchitectural simulator, superscalar x86-64 processor core, full-speed native execution, microarchitectural simulators, x86 ISA, microoperation level, multiprocessor capable simulation, Xen hypervisor, AMD Athlon 64 machine, virtual machine
9Andreas Hansson 0001, Martijn Coenen, Kees Goossens Channel trees: reducing latency by sharing time slots in time-multiplexed networks on chip. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF quality-of-service, system-on-chip, network-on-chip, time-division-multiplexing
9Roger Moussali, Nabil Ghanem, Mazen A. R. Saghir Supporting multithreading in configurable soft processor cores. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF soft processor cores, multithreading
9William W. Pugh, Nathaniel Ayewah Unit testing concurrent software. Search on Bibsonomy ASE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF JUnit test cases, concurrent abstraction, multithreadedTC, java, testing framework
9Md. Mafijul Islam, Alexander Busck, Mikael Engbom, Simji Lee, Michel Dubois 0001, Per Stenström Loop-level Speculative Parallelism in Embedded Applications. Search on Bibsonomy ICPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Karsten Walther, Jörg Nolte A Flexible Scheduling Framework for Deeply Embedded Systems. Search on Bibsonomy AINA Workshops (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Tong Li 0003, Dan P. Baumberger, David A. Koufaty, Scott Hahn Efficient operating system scheduling for performance-asymmetric multi-core architectures. Search on Bibsonomy SC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Matthew Curtis-Maury, Karan Singh, Sally A. McKee, Filip Blagojevic, Dimitrios S. Nikolopoulos, Bronis R. de Supinski, Martin Schulz 0001 Identifying energy-efficient concurrency levels using machine learning. Search on Bibsonomy CLUSTER The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Guilherme Ottoni, Ram Rangan, Adam Stoler, Matthew J. Bridges, David I. August From sequential programs to concurrent threads. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Angelos D. Keromytis, Jason L. Wright, Theo de Raadt, Matthew Burnside Cryptography as an operating system service: A case study. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF authentication, digital signatures, Encryption, hash functions, cryptographic protocols
9Ilya Ganusov, Martin Burtscher Future execution: A prefetching mechanism that uses multiple cores to speed up single threads. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Future execution, chip multiprocessors, prefetching, memory wall
9Jared Davis Memories: array-like records for ACL2. Search on Bibsonomy ACL2 The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MBE, fixnum optimization, linear address spaces, arrays, records, ACL2
9Avi Mendelson Memory management challenges in the power-aware computing era. Search on Bibsonomy ISMM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9David Siegwart, Martin Hirzel Improving locality with parallel hierarchical copying GC. Search on Bibsonomy ISMM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF parallel, generational, cache locality
9David Wentzlaff, Anant Agarwal Constructing Virtual Architectures on a Tiled Processor. Search on Bibsonomy CGO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Dimple Kaul, Aniruddha S. Gokhale Middleware specialization using aspect oriented programming. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF middleware, aspect-oriented programming, product lines, specialization
9Michael F. Spear, Virendra J. Marathe, William N. Scherer III, Michael L. Scott Conflict Detection and Validation Strategies for Software Transactional Memory. Search on Bibsonomy DISC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Jeffrey Namkung, Dohyung Kim, Rajesh K. Gupta 0001, Igor Kozintsev, Jean-Yves Bouguet, Carole Dulong Phase guided sampling for efficient parallel application simulation. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF phase analysis, simulation, sampling, chip multiprocessors, multithreading
9Ganesh Bikshandi, Jia Guo, Christoph von Praun, Gabriel Tanase, Basilio B. Fraguela, María Jesús Garzarán, David A. Padua, Lawrence Rauchwerger Design and Use of htalib - A Library for Hierarchically Tiled Arrays. Search on Bibsonomy LCPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Mark Marron, Deepak Kapur, Darko Stefanovic, Manuel V. Hermenegildo A Static Heap Analysis for Shape and Connectivity: Unified Memory Analysis: The Base Framework. Search on Bibsonomy LCPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Pei Zhang 0001, Christopher M. Sadler, Margaret Martonosi Middleware for long-term deployment of delay-tolerant sensor networks. Search on Bibsonomy MidSens The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sensor networks, delay-tolerant networks, application scheduling, middleware system
9Mahim Mishra, Timothy J. Callahan, Tiberiu Chelcea, Girish Venkataramani, Seth Copen Goldstein, Mihai Budiu Tartan: evaluating spatial computation for whole program execution. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low power, asynchronous circuits, reconfigurable hardware, defect tolerance, spatial computation, dataflow machine
9Hans P. Reiser, Jörg Domaschka, Franz J. Hauck, Rüdiger Kapitza, Wolfgang Schröder-Preikschat Consistent Replication of Multithreaded Distributed Objects. Search on Bibsonomy SRDS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Emre Özer 0001, Thomas M. Conte High-Performance and Low-Cost Dual-Thread VLIW Processor Using Weld Architecture Paradigm. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Multithreaded processors, VLIW architectures, modeling of computer architecture
9Madhukar Anand, Jesung Kim, Insup Lee 0001 Code Generation from Hybrid Systems Models for Distributed Embedded Systems. Search on Bibsonomy ISORC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Joshua L. Kihm, Daniel A. Connors Statistical Simulation of Multithreaded Architectures. Search on Bibsonomy MASCOTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9David W. Oehmke, Nathan L. Binkert, Trevor N. Mudge, Steven K. Reinhardt How to Fake 1000 Registers. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9George A. Reis, Jonathan Chang, Neil Vachharajani, Ram Rangan, David I. August SWIFT: Software Implemented Fault Tolerance. Search on Bibsonomy CGO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Zhichun Zhu, Zhao Zhang 0010 A Performance Comparison of DRAM Memory System Optimizations for SMT Processors. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Edward R. Sykes, Aleksandar Mirkovic A Fully Parallel and Scalable Implementation of a Hopfield Neural Network on the SHARC-NET Supercomputer. Search on Bibsonomy HPCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF parallel neural networks, distributed ANNs, parallel Hopfield neural networks
9Salamah Salamah, Ann Q. Gates, Steve Roach, Oscar Mondragon Verifying Pattern-Generated LTL Formulas: A Case Study. Search on Bibsonomy SPIN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Fredrik Warg, Per Stenström Reducing misspeculation overhead for module-level speculative execution. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF misspeculation prediction, module-level parallelism, performance evaluation, chip multiprocessors, thread-level speculation
9Manohar K. Prabhu, Kunle Olukotun Exposing speculative thread parallelism in SPEC2000. Search on Bibsonomy PPoPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SPEC CPU2000, feedback-driven optimization, manual parallel programming, chip multiprocessors, multithreading, thread-level speculation
9Bart Jacobs 0002, Frank Piessens, K. Rustan M. Leino, Wolfram Schulte Safe Concurrency for Aggregate Objects with Invariants. Search on Bibsonomy SEFM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Diab Abuaiadh, Yoav Ossia, Erez Petrank, Uri Silbershtein An efficient parallel heap compaction algorithm. Search on Bibsonomy OOPSLA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF parallel compaction, java, garbage collection, JVM, compaction, parallel garbage collection
9Lars Michael Kristensen, Laure Petrucci An Approach to Distributed State Space Exploration for Coloured Petri Nets. Search on Bibsonomy ICATPN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Jared C. Smolens, Jangwoo Kim, James C. Hoe, Babak Falsafi Efficient Resource Sharing in Concurrent Error Detecting Superscalar Microarchitectures. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Tor M. Aamodt, Paul Chow, Per Hammarlund, Hong Wang 0003, John Paul Shen Hardware Support for Prescient Instruction Prefetch. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9André C. Nácul, Tony Givargis Code partitioning for synthesis of embedded applications with phantom. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Mary Kiemb, Kiyoung Choi Memory and architecture exploration with thread shifting for multithreaded processors in embedded systems. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF embedded systems, design space exploration, simultaneous multithreading, SMT
9Klaus Schneider 0001, Jens Brandt 0001, Tobias Schüle Causality analysis of synchronous programs with delayed actions. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF causality, synchronous languages, ternary logic, fixpoints
9Ganesh Bikshandi, Basilio B. Fraguela, Jia Guo, María Jesús Garzarán, Gheorghe Almási 0001, José E. Moreira, David A. Padua Implementation of Parallel Numerical Algorithms Using Hierarchically Tiled Arrays. Search on Bibsonomy LCPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9David Morano, Alireza Khalafi, David R. Kaeli, Augustus K. Uht Realizing high IPC through a scalable memory-latency tolerant multipath microarchitecture. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Jinmin Yang, Dafang Zhang, Xue Dong Yang User-Level Implementation of Checkpointing for Multithreaded Applications on Windows NT. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Tor M. Aamodt, Pedro Marcuello, Paul Chow, Antonio González 0001, Per Hammarlund, Hong Wang 0003, John Paul Shen A framework for modeling and optimization of prescient instruction prefetch. Search on Bibsonomy SIGMETRICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF optimization, multithreading, analytical modeling, path expressions, helper threads, instruction prefetch
9George Almási 0001, Luiz De Rose, Basilio B. Fraguela, José E. Moreira, David A. Padua Programming for Locality and Parallelism with Hierarchically Tiled Arrays. Search on Bibsonomy LCPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Francesca Levi Types for Evolving Communication in Safe Ambients. Search on Bibsonomy VMCAI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9José-Luis Ruiz-Reina, José Antonio Alonso Jimenez, María-José Hidalgo, Francisco-Jesús Martín-Mateos Formal Reasoning about Efficient Data Structures: A Case Study in ACL2. Search on Bibsonomy LOPSTR The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Venkatram Krishnaswamy, Gagan Hasteer, Prithviraj Banerjee Automatic Parallelization of Compiled Event Driven VHDL Simulation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF scheduling, partitioning, VHDL, multithreading, automatic parallelization, event driven simulation, compiled simulation
9Gleb Naumovich Using the observer design pattern for implementation of data flow analyses. Search on Bibsonomy PASTE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF algorithm implementation, static analysis, data flow analysis
9Mohamed M. Zahran, Manoj Franklin Return-Address Prediction in Speculative Multithreaded Environments. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Ulrich Sigmund, Theo Ungerer Die Multimediafaehigkeit von mehrfaedig superskalaren Prozessoren am Beispiel der MPEG-2-Decodierung. Search on Bibsonomy Inform. Forsch. Entwickl. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Mehrfädig superskalar, Superskalarprozessor, Multimediaprozessor, Multimediaeinheit, Videodecodierung, MPEG-2
9Harold W. Cain, Ravi Rajwar, Morris Marden, Mikko H. Lipasti An Architectural Evaluation of Java TPC-W. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Emre Özer 0001, Thomas M. Conte, Saurabh Sharma Weld: A Multithreading Technique Towards Latency-Tolerant VLIW Processors. Search on Bibsonomy HiPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Jin-Soo Kim, Kangho Kim, Sung-In Jung Building a high-performance communication layer over virtual interface architecture on Linux clusters. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Jamison D. Collins, Hong Wang 0003, Dean M. Tullsen, Christopher J. Hughes, Yong-Fong Lee, Daniel M. Lavery, John Paul Shen Speculative precomputation: long-range prefetching of delinquent loads. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Systems Application Architecture
Displaying result #101 - #200 of 213 (100 per page; Change: )
Pages: [<<][1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license