The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for speculation with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1994 (18) 1995-1996 (15) 1997 (15) 1998 (24) 1999 (38) 2000 (41) 2001 (37) 2002 (34) 2003 (53) 2004 (56) 2005 (56) 2006 (44) 2007 (46) 2008 (54) 2009 (49) 2010 (49) 2011 (39) 2012 (26) 2013 (26) 2014 (30) 2015 (30) 2016 (35) 2017 (19) 2018 (17) 2019 (42) 2020 (32) 2021 (43) 2022 (28) 2023 (35) 2024 (4)
Publication types (Num. hits)
article(268) incollection(7) inproceedings(738) phdthesis(21) proceedings(1)
Venues (Conferences, Journals, ...)
ISCA(34) CoRR(33) MICRO(28) HPCA(25) ASPLOS(23) IPDPS(21) IEEE PACT(18) ICS(17) ICCD(15) IEEE Trans. Computers(15) LCPC(15) NeSp-NLP@ACL(15) PPoPP(15) PLDI(14) CGO(13) DAC(13) More (+10 of total 434)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 811 occurrences of 404 keywords

Results
Found 1035 publication records. Showing 1035 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
34Yangchun Luo, Venkatesan Packirisamy, Wei-Chung Hsu, Antonia Zhai, Nikhil Mungre, Ankit Tarkas Dynamic performance tuning for speculative threads. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallelism, multicore, dynamic optimization, thread-level speculation
34Antonia Zhai, J. Gregory Steffan, Christopher B. Colohan, Todd C. Mowry Compiler and hardware support for reducing the synchronization of speculative threads. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF automatic parallelization, instruction scheduling, Thread-level speculation, chip-multiprocessing
34Easwaran Raman, Neil Vachharajani, Ram Rangan, David I. August Spice: speculative parallel iteration chunk execution. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF automatic paralleization, thread level parallelism, multicore architectures, speculative parallelization, value speculation
34Christopher J. F. Pickett Software speculative multithreading for Java. Search on Bibsonomy OOPSLA Companion The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Java, parallelism, virtual machines, thread level speculation, speculative multithreading
34David de la Fuente, Alejandro Garrido, Jaime Laviada, Alberto Gómez 0001 Genetic algorithms to optimise the time to make stock market investment. Search on Bibsonomy GECCO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF chartism, stock exchange speculation, genetic algorithms, technical analysis
34Resit Sendag, Ying Chen, David J. Lilja The Impact of Incorrectly Speculated Memory Operations in a Multithreaded Architecture. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mispredicted loads, wrong execution, wrong execution cache, prefetching, Speculation, multithreaded architecture
34Graham Schelle, Dirk Grunwald CUSP: a modular framework for high speed network applications on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF networking, parallelism, reconfigurable hardware, speculation
34Zhao-Hui Du, Chu-Cheow Lim, Xiao-Feng Li, Chen Yang, Qingyu Zhao, Tin-Fook Ngai A cost-driven compilation framework for speculative parallelization of sequential programs. Search on Bibsonomy PLDI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cost-driven compilation, speculative parallel threading, loop transformation, thread-level speculation, speculative multithreading, speculative parallelization
34Karthik Natarajan, Heather Hanson, Stephen W. Keckler, Charles R. Moore, Doug Burger Microprocessor pipeline energy analysis. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF alpha 21264, over-provisioning, power, energy, speculation
34Tali Moreshet, R. Iris Bahar Power-aware issue queue design for speculative instructions. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low power design, microarchitecture, speculation
34Lucian Codrescu, D. Scott Wills, James D. Meindl Architecture of the Atlas Chip-Multiprocessor: Dynamically Parallelizing Irregular Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Thread speculation, multiscalar, parallelization, chip-multiprocessor, multithreading, value prediction
34Toshinori Sato, Akihiko Hamano, Kiichi Sugitani, Itsujiro Arita Influence of Compiler Optimizations on Value Prediction. Search on Bibsonomy HPCN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF optimization level, high-performance compilers, instruction level parallelism, value prediction, data speculation
34Toshinori Sato, Itsujiro Arita Table size reduction for data value predictors by exploiting narrow width values. Search on Bibsonomy ICS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF narrow width operands, instruction level parallelism, hardware implementation, value prediction, data speculation
34Toshinori Sato, Itsujiro Arita Partial Resolution in Data Value Predictors. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF partial resolution, tag bitwidth, instruction level parallelism, value prediction, data speculation
34John P. Morrison, Martin Rem Speculative Computing in the Condensed Graphs Machine. Search on Bibsonomy ICPP Workshops The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Parallel Processing, Dataflow, Speculation, Graph Reduction, Condensed Graphs
32Thibaut Marty Spéculation temporelle pour accélérateurs matériels. (Timing speculation for hardware accelerators). Search on Bibsonomy 2022   RDF
32Kleovoulos Kalaitzidis Advanced Speculation to Increase the Performance of Superscalar Processors. (Spéculation Avancée pour Augmenter Les Performances des Processeurs Superscalaires). Search on Bibsonomy 2020   RDF
32Marjorie Bournat Graceful Degradation and Speculation for Robots in Highly Dynamic Environments. (Dégradation progressive et spéculation pour les robots dans des environnements hautement dynamiques). Search on Bibsonomy 2019   RDF
32Julien Desclés, Olfa Makkaoui, Taouise Hacène Automatic annotation of speculation in biomedical texts: new perspectives and large-scale evaluation. Search on Bibsonomy NeSp-NLP@ACL The full citation details ... 2010 DBLP  BibTeX  RDF
32Veronika Vincze Speculation and negation annotation in natural language texts: what the case of BioScope might (not) reveal. Search on Bibsonomy NeSp-NLP@ACL The full citation details ... 2010 DBLP  BibTeX  RDF
32Yunlian Jiang, Feng Mao, Xipeng Shen Speculation with Little Wasting: Saving Cost in Software Speculation through Transparent Learning. Search on Bibsonomy ICPADS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Wenbo Dai, Hong An, Qi Li 0034, Gongming Li, Bobin Deng, Shilei Wu, Xiaomei Li, Yu Liu A Priority-Aware NoC to Reduce Squashes in Thread Level Speculation for Chip Multiprocessors. Search on Bibsonomy ISPA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF squash, prioirity, network on chip, thread level speculation
31Peng Li 0017, Song Guo 0001 Energy Minimization on Thread-Level Speculation in Multicore Systems. Search on Bibsonomy ISPDC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF energy efficiency, dynamic voltage scaling, thread-level speculation, Multicore systems
31Naveen Neelakantam, David R. Ditzel, Craig B. Zilles A real system evaluation of hardware atomicity for software speculation. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optimization, checkpoint, atomicity, speculation, dynamic translation
31Naveen Neelakantam, Ravi Rajwar, Suresh Srinivas, Uma Srinivasan 0003, Craig B. Zilles Hardware Atomicity: An Effective Abstraction for Reliable Software Speculation. Search on Bibsonomy IEEE Micro The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Java, optimization, architecture, compiler, checkpoint, atomicity, speculation, isolation, compiler-architecture interactions
31Christopher B. Colohan, Anastassia Ailamaki, J. Gregory Steffan, Todd C. Mowry Incrementally parallelizing database transactions with thread-level speculation. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF incremental parallelization, Thread-level speculation, chip-multiprocessing, optimistic concurrency
31Thirumalaisamy Ragunathan, P. Krishna Reddy Improving the performance of read-only transactions through asynchronous speculation. Search on Bibsonomy SpringSim The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallel processing, concurrency control, database systems, speculation, read-only transactions
31Antonia Zhai, Shengyue Wang, Pen-Chung Yew, Guojin He Compiler optimizations for parallelizing general-purpose applications under thread-level speculation. Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF compiler optimizations, parallelizing compiler, thread-level speculation, multicore systems
31Juan L. Aragón, José M. González, Antonio González 0001 Control Speculation for Energy-Efficient Next-Generation Superscalar Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low-power design, processor architecture, energy-aware systems, Control speculation
31Troy A. Johnson, Rudolf Eigenmann, T. N. Vijaykumar Min-cut program decomposition for thread-level speculation. Search on Bibsonomy PLDI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF partitioning, chip multiprocessor, thread-level speculation, min-cut, program decomposition
31Rodric M. Rabbah, Hariharan Sandanagobalane, Mongkol Ekpanyapong, Weng-Fai Wong Compiler orchestrated prefetching via speculation and predication. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF prefetching, speculation, precomputation, predicated execution
31Jih-Kwon Peir, Shih-Chang Lai, Shih-Lien Lu, Jared Stark, Konrad Lai Bloom filtering cache misses for accurate data speculation and prefetching. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF bloom filter, instruction scheduling, data cache, data prefetching, data speculation
31John Greiner, Guy E. Blelloch A Provably Time-Efficient Parallel Implementation of Full Speculation. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF profiling semantics, threads, parallel languages, speculation, abstract machines
31José González 0002, Antonio González 0001 The Potential of Data Value Speculation to Boost ILP. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1998 DBLP  DOI  BibTeX  RDF data value speculation, limits of ILP, value prediction
30Venkata Krishnan, Josep Torrellas A Chip-Multiprocessor Architecture with Speculative Multithreading. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Chip-multiprocessor, speculative multithreading, data-dependence speculation, control speculation
30P. V. R. Murthy, V. Rajaraman Implementation of Speculative Parallelism in Functional Languages. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF compile-time analysis technique, supercombinator, speculative evaluation, conservative parallelism, branch speculation, argument speculation, performance, probability, probability, functional programming, parallel languages, functional languages, lazy evaluation, speculative parallelism, user-defined function, strictness analysis
29Peng Wu 0001, Arun Kejariwal, Calin Cascaval Compiler-Driven Dependence Profiling to Guide Program Parallelization. Search on Bibsonomy LCPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Chen Ding 0001, Xipeng Shen, Kirk Kelsey, Chris Tice, Ruke Huang, Chengliang Zhang Software behavior oriented parallelization. Search on Bibsonomy PLDI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF program behavior, speculative parallelization
29Christopher B. Colohan, Anastassia Ailamaki, J. Gregory Steffan, Todd C. Mowry Tolerating Dependences Between Large Speculative Threads Via Sub-Threads. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Amir Roth Store Vulnerability Window (SVW): Re-Execution Filtering for Enhanced Load Optimization. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Jin Lin, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai A Compiler Framework for Recovery Code Generation in General Speculative Optimizations. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Takenori Koushiro, Toshinori Sato, Itsujiro Arita A trace-level value predictor for Contrail processors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF traceconstruction, energy efficiency, simultaneous multithreading, value prediction, chip multi processors
29Hong Wang 0003, Shiri Manor, Dave LaFollette, Nadav Nesher, Ku-jei King, Perry H. Wang, Shay Levy, Shai Satt, Gal Carmeli, Arjun Kapur, Ioannis Schoinas, Ed Rubinstein, Rahul Bhatt Inferno: a functional simulation infrastructure for modeling microarchitectural data speculations. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Pradeep Rao, S. K. Nandy 0001, M. N. V. Satya Kiran Simultaneous MultiStreaming for Complexity-Effective VLIW Architectures. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Kazuaki Ishizaki, Tatsushi Inagaki, Hideaki Komatsu, Toshio Nakatani Eliminating Exception Constraints of Java Programs for IA-64. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Abhik Roychoudhury, Xianfeng Li, Tulika Mitra Timing Analysis of Embedded Software for Speculative Processors. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF worst case execution time, branch prediction
29Gurindar S. Sohi Amir Roth: Speculative Multithreaded Processors. Search on Bibsonomy HiPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Toshinori Sato, Itsujiro Arita Comprehensive Evaluation of an Instruction Reissue Mechanism. Search on Bibsonomy ISPAN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Pedro Marcuello, Jordi Tubella, Antonio González 0001 Value Prediction for Speculative Multithreaded Architectures. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Youfeng Wu, Yong-Fong Lee Comprehensive Redundant Load Elimination for the IA-64 Architecture. Search on Bibsonomy LCPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Chris Gniady, Babak Falsafi, T. N. Vijaykumar Is SC + ILP=RC? Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Brian Greskamp, Lu Wan, Ulya R. Karpuzcu, Jeffrey J. Cook, Josep Torrellas, Deming Chen, Craig B. Zilles Blueshift: Designing processors for timing speculation from the ground up. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Muhammad Umar Farooq 0003, Lizy Kurian John, Margarida F. Jacome Compiler Controlled Speculation for Power Aware ILP Extraction in Dataflow Architectures. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Tiled dataflow architectures, predication, power-performance trade-offs
26Nidhi Aggarwal, Jason F. Cantin, Mikko H. Lipasti, James E. Smith 0001 Power-Efficient DRAM Speculation. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Venkatesan Packirisamy, Yangchun Luo, Wei-Lung Hung, Antonia Zhai, Pen-Chung Yew, Tin-Fook Ngai Efficiency of thread-level speculation in SMT and CMP architectures - performance, power and thermal perspective. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Cosmin E. Oancea, Alan Mycroft Set-Congruence Dynamic Analysis for Thread-Level Speculation (TLS). Search on Bibsonomy LCPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Qingying Deng, Minxuan Zhang, Jiang Jiang A Parallel Infrastructure on Dynamic EPIC SMT and Its Speculation Optimization. Search on Bibsonomy ISPA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Jianming Fu, Hui Fan Assigning Block Size Based on Speculation for Parallel Downloading. Search on Bibsonomy CIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Stanley L. C. Fung, J. Gregory Steffan Improving cache locality for thread-level speculation. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Arkadiusz Danilecki, Michal Szychowiak Speculation Meets Checkpointing. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Jose Renau, Karin Strauss, Luis Ceze, Wei Liu 0014, Smruti R. Sarangi, James Tuck 0001, Josep Torrellas Thread-Level Speculation on a CMP can be energy efficient. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Christopher J. F. Pickett, Clark Verbrugge Software Thread Level Speculation for the Java Language and Virtual Machine Environment. Search on Bibsonomy LCPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Graham Schelle, Dirk Grunwald Automated Speculation and Parallelism in High Performance Network Applications. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Dongsoo Kang, Jean-Luc Gaudiot Speculation Control for Simultaneous Multithreading. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Maurício L. Pilla, Philippe Olivier Alexandre Navaux, Bruce R. Childers, Amarildo T. da Costa, Felipe Maia Galvão França Value Predictors for Reuse through Speculation on Traces. Search on Bibsonomy SBAC-PAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26María Jesús Garzarán, Milos Prvulovic, Víctor Viñals, José María Llabería, Lawrence Rauchwerger, Josep Torrellas Using Software Logging to Support Multi-Version Buffering in Thread-Level Speculation. Search on Bibsonomy IEEE PACT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Qiong Cai, Jingling Xue Optimal and Efficient Speculation-Based Partial Redundancy Elimination. Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26James C. Dehnert, Brian Grant, John P. Banning, Richard Johnson, Thomas Kistler, Alexander Klaiber, Jim Mattson The Transmeta Code Morphing - Software: Using Speculation, Recovery, and Adaptive Retranslation to Address Real-Life Challenges. Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26María Jesús Garzarán, Milos Prvulovic, José María Llabería, Víctor Viñals, Lawrence Rauchwerger, Josep Torrellas Tradeoffs in Buffering Memory State for Thread-Level Speculation in Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Li-Ling Chen, Youfeng Wu Aggressive Compiler Optimization and Parallelization with Thread-Level Speculation. Search on Bibsonomy ICPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF high-performance architecture and region formation, compiler optimizations, speculative execution, thread-level parallelism
26José F. Martínez, Josep Torrellas Speculative synchronization: applying thread-level speculation to explicitly parallel applications. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Pedro Marcuello, Antonio González 0001 A Quantitative Assessment of Thread-Level Speculation Techniques. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Speculative Thread-level Parallelism, Thread Spawning Policies, Branch Prediction, Value prediction
26Mark D. Hill How computer architecture trends may affect future distributed systems: from infiniBand clusters to inter-processor speculation (abstract). Search on Bibsonomy PODC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26J. Gregory Steffan, Christopher B. Colohan, Antonia Zhai, Todd C. Mowry A scalable approach to thread-level speculation. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Luiz C. V. dos Santos, Jochen A. G. Jess Exploiting State Equivalence on the Fly while Applying Code Motion and Speculation. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Gianluca Cornetta, Jordi Cortadella A Radix-16 SRT Division Unit with Speculation of the Quotient Digits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Eliseu M. Chaves Filho, Edil S. T. Fernandes The Effect of the Speculation Depth on the Performance of Superscalar Architectures. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Jordi Cortadella, Tomás Lang Division with speculation of quotient digits. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
25Arun Kejariwal, Milind Girkar, Xinmin Tian, Hideki Saito 0001, Alexandru Nicolau, Alexander V. Veidenbaum, Utpal Banerjee, Constantine D. Polychronopoulos Exploitation of nested thread-level speculative parallelism on multi-core systems. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance, thread-level speculation
25Mojtaba Mehrara, Jeff Hao, Po-Chun Hsu, Scott A. Mahlke Parallelizing sequential applications on commodity hardware using a low-cost software transactional memory. Search on Bibsonomy PLDI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF automatic parallelization, software transactional memory, thread-level speculation, profile-guided optimization, loop level parallelism
25Dongsoo Kang, Chen Liu 0001, Jean-Luc Gaudiot The Impact of Speculative Execution on SMT Processors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Speculation control, Simultaneous multithreading, Thread scheduling, Confidence estimator
25Mihai Burcea, J. Gregory Steffan, Cristiana Amza The potential for variable-granularity access tracking for optimistic parallelism. Search on Bibsonomy MSPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dependence tracking, variable granularity, transactional memory, thread-level speculation
25Christopher B. Colohan, Anastassia Ailamaki, J. Gregory Steffan, Todd C. Mowry CMP Support for Large and Dependent Speculative Threads. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF databases, Multiprocessor Systems, cache coherence, thread-level speculation
25Ahmed S. Al-Zawawi, Vimal K. Reddy, Eric Rotenberg, Haitham Akkary Transparent control independence (TCI). Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF control independence, selective re-execution, selective recovery, checkpoints, branch prediction, speculation
25Seon Wook Kim, Chong-liang Ooi, Rudolf Eigenmann, Babak Falsafi, T. N. Vijaykumar Exploiting reference idempotency to reduce speculative storage overflow. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Idempotent references, compiler-assisted speculative execution, speculation
25Wei Liu 0014, James Tuck 0001, Luis Ceze, Wonsun Ahn, Karin Strauss, Jose Renau, Josep Torrellas POSH: a TLS compiler that exploits program structure. Search on Bibsonomy PPoPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF TLS compiler, profiling, prefetching, thread-level speculation, multi-core architecture
25Weiwu Hu, Fuxin Zhang, Zusong Li Microarchitecture of the Godson-2 Processor. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF superscalar pipeline, dynamic scheduling non-blocking cache, load speculation, branch prediction, out-of-order execution, register renaming
25Yuanyuan Zhou 0001, Pin Zhou, Feng Qin, Wei Liu 0014, Josep Torrellas Efficient and flexible architectural support for dynamic monitoring. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dynamic monitoring, thread-level speculation (TLS), software debugging, Architectural support
25Manohar K. Prabhu, Kunle Olukotun Exposing speculative thread parallelism in SPEC2000. Search on Bibsonomy PPoPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SPEC CPU2000, feedback-driven optimization, manual parallel programming, chip multiprocessors, multithreading, thread-level speculation
25Peng-Sheng Chen, Yuan-Shin Hwang, Roy Dz-Ching Ju, Jenq Kuen Lee Interprocedural Probabilistic Pointer Analysis. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interprocedural program analysis, optimization, compilers, Multithreading, speculation, points-to analysis
25Stephen W. Melvin, Yale N. Patt Handling of packet dependencies: a critical issue for highly parallel network processors. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF memory synchronization, packet dependencies, parallel processing, network processors, processor architecture, thread level speculation, multithreaded processors, packet processing
25Ravi Rajwar, Alain Kägi, James R. Goodman Improving the Throughput of Synchronization by Insertion of Delays. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF QOLB, Implicit QOLB, tear-off, Lock Prediction, Synchronization, Delays, multiprocessors, shared-memory, Speculation
25Peng Wu 0001, David A. Padua Containers on the Parallelization of General-Purpose Java Programs. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF compiler parallelization, dependence test, Java parallelization, container, data speculation
25Venkata Krishnan, Josep Torrellas The Need for Fast Communication in Hardware-Based Speculative Chip Multiprocessors. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF register communication, Chip-multiprocessor, speculative multithreading, data-dependence speculation
25Lucian Codrescu, D. Scott Wills On Dynamic Speculative Thread Partitioning and the MEM-Slicing Algorithm. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Thread Partitioning, Multiscalar, Thread Speculation, Speculative Multithreading, Dynamic Partitioning
25Toshinori Sato A Simulation Study of Pipelining and Decoupling a Dynamic Instruction Scheduling Mechanism. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF instruction reissue, instruction window design, instruction level parallelism, data speculation, dynamic instruction scheduling
25Daniel M. Lavery, Wen-mei W. Hwu Modulo Scheduling of Loops in Control-intensive Non-numeric Programs. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF control-intensive, modulo variable expansion, instruction-level parallelism, software pipelining, speculation, modulo scheduling
19Sutirtha Sanyal, Sourav Roy, Adrián Cristal, Osman S. Unsal, Mateo Valero Clock gate on abort: Towards energy-efficient hardware Transactional Memory. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Heiko Sturzrehm, Pascal Felber, Christof Fetzer TM-Stream: An STM framework for distributed event stream processing. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Carl F. DiSalvo, Marti Louw, Julina Coupland, MaryAnn Steiner Local issues, local uses: tools for robotics and sensing in community contexts. Search on Bibsonomy Creativity & Cognition The full citation details ... 2009 DBLP  DOI  BibTeX  RDF community co-design, critical engagements, technological fluency, robots, participatory design, creativity support tools, participatory sensing
Displaying result #101 - #200 of 1035 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license