|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 811 occurrences of 404 keywords
|
|
|
Results
Found 1035 publication records. Showing 1035 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
34 | Yangchun Luo, Venkatesan Packirisamy, Wei-Chung Hsu, Antonia Zhai, Nikhil Mungre, Ankit Tarkas |
Dynamic performance tuning for speculative threads. |
ISCA |
2009 |
DBLP DOI BibTeX RDF |
parallelism, multicore, dynamic optimization, thread-level speculation |
34 | Antonia Zhai, J. Gregory Steffan, Christopher B. Colohan, Todd C. Mowry |
Compiler and hardware support for reducing the synchronization of speculative threads. |
ACM Trans. Archit. Code Optim. |
2008 |
DBLP DOI BibTeX RDF |
automatic parallelization, instruction scheduling, Thread-level speculation, chip-multiprocessing |
34 | Easwaran Raman, Neil Vachharajani, Ram Rangan, David I. August |
Spice: speculative parallel iteration chunk execution. |
CGO |
2008 |
DBLP DOI BibTeX RDF |
automatic paralleization, thread level parallelism, multicore architectures, speculative parallelization, value speculation |
34 | Christopher J. F. Pickett |
Software speculative multithreading for Java. |
OOPSLA Companion |
2007 |
DBLP DOI BibTeX RDF |
Java, parallelism, virtual machines, thread level speculation, speculative multithreading |
34 | David de la Fuente, Alejandro Garrido, Jaime Laviada, Alberto Gómez 0001 |
Genetic algorithms to optimise the time to make stock market investment. |
GECCO |
2006 |
DBLP DOI BibTeX RDF |
chartism, stock exchange speculation, genetic algorithms, technical analysis |
34 | Resit Sendag, Ying Chen, David J. Lilja |
The Impact of Incorrectly Speculated Memory Operations in a Multithreaded Architecture. |
IEEE Trans. Parallel Distributed Syst. |
2005 |
DBLP DOI BibTeX RDF |
mispredicted loads, wrong execution, wrong execution cache, prefetching, Speculation, multithreaded architecture |
34 | Graham Schelle, Dirk Grunwald |
CUSP: a modular framework for high speed network applications on FPGAs. |
FPGA |
2005 |
DBLP DOI BibTeX RDF |
networking, parallelism, reconfigurable hardware, speculation |
34 | Zhao-Hui Du, Chu-Cheow Lim, Xiao-Feng Li, Chen Yang, Qingyu Zhao, Tin-Fook Ngai |
A cost-driven compilation framework for speculative parallelization of sequential programs. |
PLDI |
2004 |
DBLP DOI BibTeX RDF |
cost-driven compilation, speculative parallel threading, loop transformation, thread-level speculation, speculative multithreading, speculative parallelization |
34 | Karthik Natarajan, Heather Hanson, Stephen W. Keckler, Charles R. Moore, Doug Burger |
Microprocessor pipeline energy analysis. |
ISLPED |
2003 |
DBLP DOI BibTeX RDF |
alpha 21264, over-provisioning, power, energy, speculation |
34 | Tali Moreshet, R. Iris Bahar |
Power-aware issue queue design for speculative instructions. |
DAC |
2003 |
DBLP DOI BibTeX RDF |
low power design, microarchitecture, speculation |
34 | Lucian Codrescu, D. Scott Wills, James D. Meindl |
Architecture of the Atlas Chip-Multiprocessor: Dynamically Parallelizing Irregular Applications. |
IEEE Trans. Computers |
2001 |
DBLP DOI BibTeX RDF |
Thread speculation, multiscalar, parallelization, chip-multiprocessor, multithreading, value prediction |
34 | Toshinori Sato, Akihiko Hamano, Kiichi Sugitani, Itsujiro Arita |
Influence of Compiler Optimizations on Value Prediction. |
HPCN |
2001 |
DBLP DOI BibTeX RDF |
optimization level, high-performance compilers, instruction level parallelism, value prediction, data speculation |
34 | Toshinori Sato, Itsujiro Arita |
Table size reduction for data value predictors by exploiting narrow width values. |
ICS |
2000 |
DBLP DOI BibTeX RDF |
narrow width operands, instruction level parallelism, hardware implementation, value prediction, data speculation |
34 | Toshinori Sato, Itsujiro Arita |
Partial Resolution in Data Value Predictors. |
ICPP |
2000 |
DBLP DOI BibTeX RDF |
partial resolution, tag bitwidth, instruction level parallelism, value prediction, data speculation |
34 | John P. Morrison, Martin Rem |
Speculative Computing in the Condensed Graphs Machine. |
ICPP Workshops |
1999 |
DBLP DOI BibTeX RDF |
Parallel Processing, Dataflow, Speculation, Graph Reduction, Condensed Graphs |
32 | Thibaut Marty |
Spéculation temporelle pour accélérateurs matériels. (Timing speculation for hardware accelerators). |
|
2022 |
RDF |
|
32 | Kleovoulos Kalaitzidis |
Advanced Speculation to Increase the Performance of Superscalar Processors. (Spéculation Avancée pour Augmenter Les Performances des Processeurs Superscalaires). |
|
2020 |
RDF |
|
32 | Marjorie Bournat |
Graceful Degradation and Speculation for Robots in Highly Dynamic Environments. (Dégradation progressive et spéculation pour les robots dans des environnements hautement dynamiques). |
|
2019 |
RDF |
|
32 | Julien Desclés, Olfa Makkaoui, Taouise Hacène |
Automatic annotation of speculation in biomedical texts: new perspectives and large-scale evaluation. |
NeSp-NLP@ACL |
2010 |
DBLP BibTeX RDF |
|
32 | Veronika Vincze |
Speculation and negation annotation in natural language texts: what the case of BioScope might (not) reveal. |
NeSp-NLP@ACL |
2010 |
DBLP BibTeX RDF |
|
32 | Yunlian Jiang, Feng Mao, Xipeng Shen |
Speculation with Little Wasting: Saving Cost in Software Speculation through Transparent Learning. |
ICPADS |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Wenbo Dai, Hong An, Qi Li 0034, Gongming Li, Bobin Deng, Shilei Wu, Xiaomei Li, Yu Liu |
A Priority-Aware NoC to Reduce Squashes in Thread Level Speculation for Chip Multiprocessors. |
ISPA |
2011 |
DBLP DOI BibTeX RDF |
squash, prioirity, network on chip, thread level speculation |
31 | Peng Li 0017, Song Guo 0001 |
Energy Minimization on Thread-Level Speculation in Multicore Systems. |
ISPDC |
2010 |
DBLP DOI BibTeX RDF |
energy efficiency, dynamic voltage scaling, thread-level speculation, Multicore systems |
31 | Naveen Neelakantam, David R. Ditzel, Craig B. Zilles |
A real system evaluation of hardware atomicity for software speculation. |
ASPLOS |
2010 |
DBLP DOI BibTeX RDF |
optimization, checkpoint, atomicity, speculation, dynamic translation |
31 | Naveen Neelakantam, Ravi Rajwar, Suresh Srinivas, Uma Srinivasan 0003, Craig B. Zilles |
Hardware Atomicity: An Effective Abstraction for Reliable Software Speculation. |
IEEE Micro |
2008 |
DBLP DOI BibTeX RDF |
Java, optimization, architecture, compiler, checkpoint, atomicity, speculation, isolation, compiler-architecture interactions |
31 | Christopher B. Colohan, Anastassia Ailamaki, J. Gregory Steffan, Todd C. Mowry |
Incrementally parallelizing database transactions with thread-level speculation. |
ACM Trans. Comput. Syst. |
2008 |
DBLP DOI BibTeX RDF |
incremental parallelization, Thread-level speculation, chip-multiprocessing, optimistic concurrency |
31 | Thirumalaisamy Ragunathan, P. Krishna Reddy |
Improving the performance of read-only transactions through asynchronous speculation. |
SpringSim |
2008 |
DBLP DOI BibTeX RDF |
parallel processing, concurrency control, database systems, speculation, read-only transactions |
31 | Antonia Zhai, Shengyue Wang, Pen-Chung Yew, Guojin He |
Compiler optimizations for parallelizing general-purpose applications under thread-level speculation. |
PPoPP |
2008 |
DBLP DOI BibTeX RDF |
compiler optimizations, parallelizing compiler, thread-level speculation, multicore systems |
31 | Juan L. Aragón, José M. González, Antonio González 0001 |
Control Speculation for Energy-Efficient Next-Generation Superscalar Processors. |
IEEE Trans. Computers |
2006 |
DBLP DOI BibTeX RDF |
low-power design, processor architecture, energy-aware systems, Control speculation |
31 | Troy A. Johnson, Rudolf Eigenmann, T. N. Vijaykumar |
Min-cut program decomposition for thread-level speculation. |
PLDI |
2004 |
DBLP DOI BibTeX RDF |
partitioning, chip multiprocessor, thread-level speculation, min-cut, program decomposition |
31 | Rodric M. Rabbah, Hariharan Sandanagobalane, Mongkol Ekpanyapong, Weng-Fai Wong |
Compiler orchestrated prefetching via speculation and predication. |
ASPLOS |
2004 |
DBLP DOI BibTeX RDF |
prefetching, speculation, precomputation, predicated execution |
31 | Jih-Kwon Peir, Shih-Chang Lai, Shih-Lien Lu, Jared Stark, Konrad Lai |
Bloom filtering cache misses for accurate data speculation and prefetching. |
ICS |
2002 |
DBLP DOI BibTeX RDF |
bloom filter, instruction scheduling, data cache, data prefetching, data speculation |
31 | John Greiner, Guy E. Blelloch |
A Provably Time-Efficient Parallel Implementation of Full Speculation. |
ACM Trans. Program. Lang. Syst. |
1999 |
DBLP DOI BibTeX RDF |
profiling semantics, threads, parallel languages, speculation, abstract machines |
31 | José González 0002, Antonio González 0001 |
The Potential of Data Value Speculation to Boost ILP. |
International Conference on Supercomputing |
1998 |
DBLP DOI BibTeX RDF |
data value speculation, limits of ILP, value prediction |
30 | Venkata Krishnan, Josep Torrellas |
A Chip-Multiprocessor Architecture with Speculative Multithreading. |
IEEE Trans. Computers |
1999 |
DBLP DOI BibTeX RDF |
Chip-multiprocessor, speculative multithreading, data-dependence speculation, control speculation |
30 | P. V. R. Murthy, V. Rajaraman |
Implementation of Speculative Parallelism in Functional Languages. |
IEEE Trans. Parallel Distributed Syst. |
1994 |
DBLP DOI BibTeX RDF |
compile-time analysis technique, supercombinator, speculative evaluation, conservative parallelism, branch speculation, argument speculation, performance, probability, probability, functional programming, parallel languages, functional languages, lazy evaluation, speculative parallelism, user-defined function, strictness analysis |
29 | Peng Wu 0001, Arun Kejariwal, Calin Cascaval |
Compiler-Driven Dependence Profiling to Guide Program Parallelization. |
LCPC |
2008 |
DBLP DOI BibTeX RDF |
|
29 | Chen Ding 0001, Xipeng Shen, Kirk Kelsey, Chris Tice, Ruke Huang, Chengliang Zhang |
Software behavior oriented parallelization. |
PLDI |
2007 |
DBLP DOI BibTeX RDF |
program behavior, speculative parallelization |
29 | Christopher B. Colohan, Anastassia Ailamaki, J. Gregory Steffan, Todd C. Mowry |
Tolerating Dependences Between Large Speculative Threads Via Sub-Threads. |
ISCA |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Amir Roth |
Store Vulnerability Window (SVW): Re-Execution Filtering for Enhanced Load Optimization. |
ISCA |
2005 |
DBLP DOI BibTeX RDF |
|
29 | Jin Lin, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai |
A Compiler Framework for Recovery Code Generation in General Speculative Optimizations. |
IEEE PACT |
2004 |
DBLP DOI BibTeX RDF |
|
29 | Takenori Koushiro, Toshinori Sato, Itsujiro Arita |
A trace-level value predictor for Contrail processors. |
SIGARCH Comput. Archit. News |
2003 |
DBLP DOI BibTeX RDF |
traceconstruction, energy efficiency, simultaneous multithreading, value prediction, chip multi processors |
29 | Hong Wang 0003, Shiri Manor, Dave LaFollette, Nadav Nesher, Ku-jei King, Perry H. Wang, Shay Levy, Shai Satt, Gal Carmeli, Arjun Kapur, Ioannis Schoinas, Ed Rubinstein, Rahul Bhatt |
Inferno: a functional simulation infrastructure for modeling microarchitectural data speculations. |
ISPASS |
2003 |
DBLP DOI BibTeX RDF |
|
29 | Pradeep Rao, S. K. Nandy 0001, M. N. V. Satya Kiran |
Simultaneous MultiStreaming for Complexity-Effective VLIW Architectures. |
Asia-Pacific Computer Systems Architecture Conference |
2003 |
DBLP DOI BibTeX RDF |
|
29 | Kazuaki Ishizaki, Tatsushi Inagaki, Hideaki Komatsu, Toshio Nakatani |
Eliminating Exception Constraints of Java Programs for IA-64. |
IEEE PACT |
2002 |
DBLP DOI BibTeX RDF |
|
29 | Abhik Roychoudhury, Xianfeng Li, Tulika Mitra |
Timing Analysis of Embedded Software for Speculative Processors. |
ISSS |
2002 |
DBLP DOI BibTeX RDF |
worst case execution time, branch prediction |
29 | Gurindar S. Sohi |
Amir Roth: Speculative Multithreaded Processors. |
HiPC |
2000 |
DBLP DOI BibTeX RDF |
|
29 | Toshinori Sato, Itsujiro Arita |
Comprehensive Evaluation of an Instruction Reissue Mechanism. |
ISPAN |
2000 |
DBLP DOI BibTeX RDF |
|
29 | Pedro Marcuello, Jordi Tubella, Antonio González 0001 |
Value Prediction for Speculative Multithreaded Architectures. |
MICRO |
1999 |
DBLP DOI BibTeX RDF |
|
29 | Youfeng Wu, Yong-Fong Lee |
Comprehensive Redundant Load Elimination for the IA-64 Architecture. |
LCPC |
1999 |
DBLP DOI BibTeX RDF |
|
29 | Chris Gniady, Babak Falsafi, T. N. Vijaykumar |
Is SC + ILP=RC? |
ISCA |
1999 |
DBLP DOI BibTeX RDF |
|
26 | Brian Greskamp, Lu Wan, Ulya R. Karpuzcu, Jeffrey J. Cook, Josep Torrellas, Deming Chen, Craig B. Zilles |
Blueshift: Designing processors for timing speculation from the ground up. |
HPCA |
2009 |
DBLP DOI BibTeX RDF |
|
26 | Muhammad Umar Farooq 0003, Lizy Kurian John, Margarida F. Jacome |
Compiler Controlled Speculation for Power Aware ILP Extraction in Dataflow Architectures. |
HiPEAC |
2009 |
DBLP DOI BibTeX RDF |
Tiled dataflow architectures, predication, power-performance trade-offs |
26 | Nidhi Aggarwal, Jason F. Cantin, Mikko H. Lipasti, James E. Smith 0001 |
Power-Efficient DRAM Speculation. |
HPCA |
2008 |
DBLP DOI BibTeX RDF |
|
26 | Venkatesan Packirisamy, Yangchun Luo, Wei-Lung Hung, Antonia Zhai, Pen-Chung Yew, Tin-Fook Ngai |
Efficiency of thread-level speculation in SMT and CMP architectures - performance, power and thermal perspective. |
ICCD |
2008 |
DBLP DOI BibTeX RDF |
|
26 | Cosmin E. Oancea, Alan Mycroft |
Set-Congruence Dynamic Analysis for Thread-Level Speculation (TLS). |
LCPC |
2008 |
DBLP DOI BibTeX RDF |
|
26 | Qingying Deng, Minxuan Zhang, Jiang Jiang |
A Parallel Infrastructure on Dynamic EPIC SMT and Its Speculation Optimization. |
ISPA |
2007 |
DBLP DOI BibTeX RDF |
|
26 | Jianming Fu, Hui Fan |
Assigning Block Size Based on Speculation for Parallel Downloading. |
CIT |
2006 |
DBLP DOI BibTeX RDF |
|
26 | Stanley L. C. Fung, J. Gregory Steffan |
Improving cache locality for thread-level speculation. |
IPDPS |
2006 |
DBLP DOI BibTeX RDF |
|
26 | Arkadiusz Danilecki, Michal Szychowiak |
Speculation Meets Checkpointing. |
International Conference on Computational Science (1) |
2006 |
DBLP DOI BibTeX RDF |
|
26 | Jose Renau, Karin Strauss, Luis Ceze, Wei Liu 0014, Smruti R. Sarangi, James Tuck 0001, Josep Torrellas |
Thread-Level Speculation on a CMP can be energy efficient. |
ICS |
2005 |
DBLP DOI BibTeX RDF |
|
26 | Christopher J. F. Pickett, Clark Verbrugge |
Software Thread Level Speculation for the Java Language and Virtual Machine Environment. |
LCPC |
2005 |
DBLP DOI BibTeX RDF |
|
26 | Graham Schelle, Dirk Grunwald |
Automated Speculation and Parallelism in High Performance Network Applications. |
FPL |
2004 |
DBLP DOI BibTeX RDF |
|
26 | Dongsoo Kang, Jean-Luc Gaudiot |
Speculation Control for Simultaneous Multithreading. |
IPDPS |
2004 |
DBLP DOI BibTeX RDF |
|
26 | Maurício L. Pilla, Philippe Olivier Alexandre Navaux, Bruce R. Childers, Amarildo T. da Costa, Felipe Maia Galvão França |
Value Predictors for Reuse through Speculation on Traces. |
SBAC-PAD |
2004 |
DBLP DOI BibTeX RDF |
|
26 | María Jesús Garzarán, Milos Prvulovic, Víctor Viñals, José María Llabería, Lawrence Rauchwerger, Josep Torrellas |
Using Software Logging to Support Multi-Version Buffering in Thread-Level Speculation. |
IEEE PACT |
2003 |
DBLP DOI BibTeX RDF |
|
26 | Qiong Cai, Jingling Xue |
Optimal and Efficient Speculation-Based Partial Redundancy Elimination. |
CGO |
2003 |
DBLP DOI BibTeX RDF |
|
26 | James C. Dehnert, Brian Grant, John P. Banning, Richard Johnson, Thomas Kistler, Alexander Klaiber, Jim Mattson |
The Transmeta Code Morphing - Software: Using Speculation, Recovery, and Adaptive Retranslation to Address Real-Life Challenges. |
CGO |
2003 |
DBLP DOI BibTeX RDF |
|
26 | María Jesús Garzarán, Milos Prvulovic, José María Llabería, Víctor Viñals, Lawrence Rauchwerger, Josep Torrellas |
Tradeoffs in Buffering Memory State for Thread-Level Speculation in Multiprocessors. |
HPCA |
2003 |
DBLP DOI BibTeX RDF |
|
26 | Li-Ling Chen, Youfeng Wu |
Aggressive Compiler Optimization and Parallelization with Thread-Level Speculation. |
ICPP |
2003 |
DBLP DOI BibTeX RDF |
high-performance architecture and region formation, compiler optimizations, speculative execution, thread-level parallelism |
26 | José F. Martínez, Josep Torrellas |
Speculative synchronization: applying thread-level speculation to explicitly parallel applications. |
ASPLOS |
2002 |
DBLP DOI BibTeX RDF |
|
26 | Pedro Marcuello, Antonio González 0001 |
A Quantitative Assessment of Thread-Level Speculation Techniques. |
IPDPS |
2000 |
DBLP DOI BibTeX RDF |
Speculative Thread-level Parallelism, Thread Spawning Policies, Branch Prediction, Value prediction |
26 | Mark D. Hill |
How computer architecture trends may affect future distributed systems: from infiniBand clusters to inter-processor speculation (abstract). |
PODC |
2000 |
DBLP DOI BibTeX RDF |
|
26 | J. Gregory Steffan, Christopher B. Colohan, Antonia Zhai, Todd C. Mowry |
A scalable approach to thread-level speculation. |
ISCA |
2000 |
DBLP DOI BibTeX RDF |
|
26 | Luiz C. V. dos Santos, Jochen A. G. Jess |
Exploiting State Equivalence on the Fly while Applying Code Motion and Speculation. |
DATE |
1999 |
DBLP DOI BibTeX RDF |
|
26 | Gianluca Cornetta, Jordi Cortadella |
A Radix-16 SRT Division Unit with Speculation of the Quotient Digits. |
Great Lakes Symposium on VLSI |
1999 |
DBLP DOI BibTeX RDF |
|
26 | Eliseu M. Chaves Filho, Edil S. T. Fernandes |
The Effect of the Speculation Depth on the Performance of Superscalar Architectures. |
Euro-Par |
1997 |
DBLP DOI BibTeX RDF |
|
26 | Jordi Cortadella, Tomás Lang |
Division with speculation of quotient digits. |
IEEE Symposium on Computer Arithmetic |
1993 |
DBLP DOI BibTeX RDF |
|
25 | Arun Kejariwal, Milind Girkar, Xinmin Tian, Hideki Saito 0001, Alexandru Nicolau, Alexander V. Veidenbaum, Utpal Banerjee, Constantine D. Polychronopoulos |
Exploitation of nested thread-level speculative parallelism on multi-core systems. |
Conf. Computing Frontiers |
2010 |
DBLP DOI BibTeX RDF |
performance, thread-level speculation |
25 | Mojtaba Mehrara, Jeff Hao, Po-Chun Hsu, Scott A. Mahlke |
Parallelizing sequential applications on commodity hardware using a low-cost software transactional memory. |
PLDI |
2009 |
DBLP DOI BibTeX RDF |
automatic parallelization, software transactional memory, thread-level speculation, profile-guided optimization, loop level parallelism |
25 | Dongsoo Kang, Chen Liu 0001, Jean-Luc Gaudiot |
The Impact of Speculative Execution on SMT Processors. |
Int. J. Parallel Program. |
2008 |
DBLP DOI BibTeX RDF |
Speculation control, Simultaneous multithreading, Thread scheduling, Confidence estimator |
25 | Mihai Burcea, J. Gregory Steffan, Cristiana Amza |
The potential for variable-granularity access tracking for optimistic parallelism. |
MSPC |
2008 |
DBLP DOI BibTeX RDF |
dependence tracking, variable granularity, transactional memory, thread-level speculation |
25 | Christopher B. Colohan, Anastassia Ailamaki, J. Gregory Steffan, Todd C. Mowry |
CMP Support for Large and Dependent Speculative Threads. |
IEEE Trans. Parallel Distributed Syst. |
2007 |
DBLP DOI BibTeX RDF |
databases, Multiprocessor Systems, cache coherence, thread-level speculation |
25 | Ahmed S. Al-Zawawi, Vimal K. Reddy, Eric Rotenberg, Haitham Akkary |
Transparent control independence (TCI). |
ISCA |
2007 |
DBLP DOI BibTeX RDF |
control independence, selective re-execution, selective recovery, checkpoints, branch prediction, speculation |
25 | Seon Wook Kim, Chong-liang Ooi, Rudolf Eigenmann, Babak Falsafi, T. N. Vijaykumar |
Exploiting reference idempotency to reduce speculative storage overflow. |
ACM Trans. Program. Lang. Syst. |
2006 |
DBLP DOI BibTeX RDF |
Idempotent references, compiler-assisted speculative execution, speculation |
25 | Wei Liu 0014, James Tuck 0001, Luis Ceze, Wonsun Ahn, Karin Strauss, Jose Renau, Josep Torrellas |
POSH: a TLS compiler that exploits program structure. |
PPoPP |
2006 |
DBLP DOI BibTeX RDF |
TLS compiler, profiling, prefetching, thread-level speculation, multi-core architecture |
25 | Weiwu Hu, Fuxin Zhang, Zusong Li |
Microarchitecture of the Godson-2 Processor. |
J. Comput. Sci. Technol. |
2005 |
DBLP DOI BibTeX RDF |
superscalar pipeline, dynamic scheduling non-blocking cache, load speculation, branch prediction, out-of-order execution, register renaming |
25 | Yuanyuan Zhou 0001, Pin Zhou, Feng Qin, Wei Liu 0014, Josep Torrellas |
Efficient and flexible architectural support for dynamic monitoring. |
ACM Trans. Archit. Code Optim. |
2005 |
DBLP DOI BibTeX RDF |
dynamic monitoring, thread-level speculation (TLS), software debugging, Architectural support |
25 | Manohar K. Prabhu, Kunle Olukotun |
Exposing speculative thread parallelism in SPEC2000. |
PPoPP |
2005 |
DBLP DOI BibTeX RDF |
SPEC CPU2000, feedback-driven optimization, manual parallel programming, chip multiprocessors, multithreading, thread-level speculation |
25 | Peng-Sheng Chen, Yuan-Shin Hwang, Roy Dz-Ching Ju, Jenq Kuen Lee |
Interprocedural Probabilistic Pointer Analysis. |
IEEE Trans. Parallel Distributed Syst. |
2004 |
DBLP DOI BibTeX RDF |
interprocedural program analysis, optimization, compilers, Multithreading, speculation, points-to analysis |
25 | Stephen W. Melvin, Yale N. Patt |
Handling of packet dependencies: a critical issue for highly parallel network processors. |
CASES |
2002 |
DBLP DOI BibTeX RDF |
memory synchronization, packet dependencies, parallel processing, network processors, processor architecture, thread level speculation, multithreaded processors, packet processing |
25 | Ravi Rajwar, Alain Kägi, James R. Goodman |
Improving the Throughput of Synchronization by Insertion of Delays. |
HPCA |
2000 |
DBLP DOI BibTeX RDF |
QOLB, Implicit QOLB, tear-off, Lock Prediction, Synchronization, Delays, multiprocessors, shared-memory, Speculation |
25 | Peng Wu 0001, David A. Padua |
Containers on the Parallelization of General-Purpose Java Programs. |
IEEE PACT |
1999 |
DBLP DOI BibTeX RDF |
compiler parallelization, dependence test, Java parallelization, container, data speculation |
25 | Venkata Krishnan, Josep Torrellas |
The Need for Fast Communication in Hardware-Based Speculative Chip Multiprocessors. |
IEEE PACT |
1999 |
DBLP DOI BibTeX RDF |
register communication, Chip-multiprocessor, speculative multithreading, data-dependence speculation |
25 | Lucian Codrescu, D. Scott Wills |
On Dynamic Speculative Thread Partitioning and the MEM-Slicing Algorithm. |
IEEE PACT |
1999 |
DBLP DOI BibTeX RDF |
Thread Partitioning, Multiscalar, Thread Speculation, Speculative Multithreading, Dynamic Partitioning |
25 | Toshinori Sato |
A Simulation Study of Pipelining and Decoupling a Dynamic Instruction Scheduling Mechanism. |
EUROMICRO |
1999 |
DBLP DOI BibTeX RDF |
instruction reissue, instruction window design, instruction level parallelism, data speculation, dynamic instruction scheduling |
25 | Daniel M. Lavery, Wen-mei W. Hwu |
Modulo Scheduling of Loops in Control-intensive Non-numeric Programs. |
MICRO |
1996 |
DBLP DOI BibTeX RDF |
control-intensive, modulo variable expansion, instruction-level parallelism, software pipelining, speculation, modulo scheduling |
19 | Sutirtha Sanyal, Sourav Roy, Adrián Cristal, Osman S. Unsal, Mateo Valero |
Clock gate on abort: Towards energy-efficient hardware Transactional Memory. |
IPDPS |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Heiko Sturzrehm, Pascal Felber, Christof Fetzer |
TM-Stream: An STM framework for distributed event stream processing. |
IPDPS |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Carl F. DiSalvo, Marti Louw, Julina Coupland, MaryAnn Steiner |
Local issues, local uses: tools for robotics and sensing in community contexts. |
Creativity & Cognition |
2009 |
DBLP DOI BibTeX RDF |
community co-design, critical engagements, technological fluency, robots, participatory design, creativity support tools, participatory sensing |
Displaying result #101 - #200 of 1035 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ >>] |
|