The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase sub-threshold (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1993-2002 (19) 2003-2004 (19) 2005 (18) 2006 (29) 2007 (22) 2008 (33) 2009 (23) 2010 (19) 2011 (27) 2012 (28) 2013 (15) 2014 (26) 2015 (23) 2016 (15) 2017 (17) 2018 (19) 2019-2020 (22) 2021-2022 (20) 2023 (7)
Publication types (Num. hits)
article(127) book(1) inproceedings(271) phdthesis(2)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 139 occurrences of 109 keywords

Results
Found 401 publication records. Showing 401 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Steffen Eickhoff, Jonathan C. Jarvis The Effect of Sub-Threshold Pre-Pulses on Neural Activation Depends on Electrode Configuration. Search on Bibsonomy IEEE Trans. Biomed. Eng. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Ersin Alaybeyoglu, Hakan Kuntman On the Performance Improvement of OTA in Sub-Threshold Region with Dual Supply. Search on Bibsonomy ECCTD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Jinbo Zhou, Kamlesh Singh, Jos Huisken Standard Cell based Memory Compiler for Near/Sub-threshold Operation. Search on Bibsonomy ICECS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Gavin B. Long, M. Nance Ericson, Charles L. Britton Jr., Benjamin D. Roehrs, Ethan D. Farquhar, S. Shane Frank, Alec Yen, Benjamin J. Blalock A Sub-Threshold Low-Power Integrated Bandpass Filter for Highly-Integrated Spectrum Analyzers. Search on Bibsonomy ICECS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Yue Yin, Songyao Tan, Peilin Yang, Hanjun Jiang, Zhihua Wang 0001 A Customized Low Static Leakage Near/Sub-threshold Standard Cell Library Using Thick-gate Transistors. Search on Bibsonomy ICTA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Nimesh Shah, Sumon Kumar Bose, Chip-Hong Chang, Arindam Basu Reducing Temperature Induced Unreliability in Sub-Threshold Strong PUFs through Circuit Modeling. Search on Bibsonomy ISCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Hyojun Kim, Jun-Eun Park, Deog-Kyoon Jeong An Area-Efficient Temperature Compensated Sub-Threshold CMOS Voltage Reference. Search on Bibsonomy ISOCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Jinn-Shyan Wang, Chien-Tung Liu, Chao-Hsiang Wang Low-Active-Energy and Low-Standby-Power Sub-threshold ROM for IoT Edge Sensing Systems. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Maryam Nobakht, Rahebeh Niaraki Asli A new 7T SRAM cell in sub-threshold region with a high performance and small area with bit interleaving capability. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Piratla Uma Sathyakam, Partha Sharathi Mallick, Anmol Ajay Saxena High-speed sub-threshold operation of carbon nanotube interconnects. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Martin Kovác, Daniel Arbet, Viera Stopjaková, Michal Sovcik, Lukás Nagy Investigation of Low-Voltage, Sub-threshold Charge Pump with Parasitics Aware Design Methodology. Search on Bibsonomy DDECS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Sergiu Nimara Reliability Assessment of Flooded Min-Sum LDPC Decoders Based on Sub-Threshold Processing Units. Search on Bibsonomy DSD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Revathi Appali, Kiran K. Sriperumbudur, Ursula van Rienen Extracellular Stimulation of Neural Tissues: Activating Function and Sub-threshold Potential Perspective. Search on Bibsonomy EMBC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Supreet Jeloka, Pranay Prabhat, Graham Knight, James Myers A 65nm switched source line sub-threshold ROM using data encoding, with 0.3V Vmin and 47fJ/b access energy. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Sarthak Gupta, Pratik Kumar, Kundan Kumar, Satrajit Chakraborty, Chetan Singh Thakur Low Power Neuromorphic Analog System Based on Sub-Threshold Current Mode Circuits. Search on Bibsonomy ISCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Kamlesh Singh, Barry de Bruin, Jos Huisken, Hailong Jiao, José Pineda de Gyvez Voltage Stacked Design of a Microcontroller for Near/Sub-threshold Operation. Search on Bibsonomy SoCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Huan-Jan Tseng, Po-Tsang Huang, Shang-Lin Wu, Sheng-Chi Lung, Wei-Chang Wang, Wei Hwang, Ching-Te Chuang 28nm 0.3V 1W2R Sub-Threshold FIFO Memory for Multi-Sensor IoT Applications. Search on Bibsonomy SoCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Shengkai Lyu, Zheng Shi On-Chip Process Variation Sensor Based on Sub-Threshold Leakage Current with Weak Bias Voltages. Search on Bibsonomy ICICDT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Hitesh Pahuja, Mintu Tyagi, Sudhakar Panday, Balwinder Singh A novel single-ended 9T FinFET sub-threshold SRAM cell with high operating margins and low write power for low voltage operations. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Sanjeev Kumar, Karmeshu Characterizing ISI and sub-threshold membrane potential distributions: Ensemble of IF neurons with random squared-noise intensity. Search on Bibsonomy Biosyst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Yuhua Liang, Zhangming Zhu A 42ppm/∘C 0.7V 47nW Low-Complexity All-MOSFET Sub-Threshold Voltage Reference. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Marco Donato, R. Iris Bahar, William R. Patterson, Alexander Zaslavsky A Sub-Threshold Noise Transient Simulator Based on Integrated Random Telegraph and Thermal Noise Modeling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Hossam Hassan, Sameh Ibrahim, HyungWon Kim 0001 Power-Gating Sub-Threshold Source-Coupled Logic (PG-STSCL) circuits for ultra-low-power applications. Search on Bibsonomy Microelectron. J. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15José Luis Vargas Luna, Winfried Mayr, Jorge Armando Cortés Ramírez Sub-threshold depolarizing pre-pulses can enhance the efficiency of biphasic stimuli in transcutaneous neuromuscular electrical stimulation. Search on Bibsonomy Medical Biol. Eng. Comput. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Junchao Mu, Lianxi Liu A 12 mV Input, 90.8% Peak Efficiency CRM Boost Converter With a Sub-Threshold Startup Voltage for TEG Energy Harvesting. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Longmei Nan, Xiaoyang Zeng, Wei Li 0131, Zhouchuang Wang, Zibin Dai A single-supply sub-threshold level shifter with an internal supply feedback loop for multi-voltage applications. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Zhikuang Cai, Chao Chen 0018 A 0.6 V temperature-stable CMOS voltage reference circuit with sub-threshold voltage compensation technique. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Franco Stellari, Naigang Wang, Peilin Song Novel IC Sub-Threshold IDDQ Signature And Its Relationship To Aging During High Voltage Stress. Search on Bibsonomy ESSDERC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Ahana Gangopadhyay, Oindrila Chatterjee, Shantanu Chakrabartty Continuous-time Optimization using Sub-threshold Current-mode Growth Transform Circuits. Search on Bibsonomy MWSCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Li-Cheng Chu, Shao-Qi Chen, Ke-Horng Chen, Ying-Hsi Lin, Shian-Ru Lin, Tsung-Yen Tsai A Pseudo-Ramp Controlled Three Level Buck Converter with an Auto-Ripple Cancellation Technique for Low Output Voltage Ripple in Sub-Threshold Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Fahim ur Rahman, Sung Kim, Naveen John, Roshan Kumar, Xi Li, Rajesh Pamula 0001, Keith A. Bowman, Visvesh S. Sathe 0001 An All-Digital Unified Clock Frequency and Switched-Capacitor Voltage Regulator for Variation Tolerance in a Sub-Threshold ARM Cortex M0 Processor. Search on Bibsonomy VLSI Circuits The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Nikita Mirchandani, Aatmesh Shrivastava High Stability Gain Structure and Filter Realization with less than 50 ppm/° C Temperature Variation with Ultra-low Power Consumption using Switched-capacitor and Sub-threshold Biasing. Search on Bibsonomy ISCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Yasin Bastan, Ali Nejati, Sara Radfar, Parviz Amiri, Mehdi Nasrollahpour, Sotoudeh Hamedi-Hagh An Ultra-Low-Voltage Sub-Threshold Pseudo-Differential CMOS Schmitt Trigger. Search on Bibsonomy SoCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Divya Akella Kamakshi, Xinfei Guo, Harsh N. Patel, Mircea R. Stan, Benton H. Calhoun A post-silicon hold time closure technique using data-path tunable-buffers for variation-tolerance in sub-threshold designs. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Tanmay Chavan, S. Dutta, Nihar R. Mohapatra, Udayan Ganguly An Ultra Energy Efficient Neuron enabled by Tunneling in Sub-threshold Regime on a Highly Manufacturable 32 nm SOI CMOS Technology. Search on Bibsonomy DRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Yi-Chun Wu, Po-Tsang Huang, Shang-Lin Wu, Sheng-Chi Lung, Wei-Chang Wang, Wei Hwang, Ching-Te Chuang 28nm near/sub-threshold dual-port FIFO memory for shared queues in multi-sensor applications. Search on Bibsonomy VLSI-DAT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Khawar Sarfraz, Jin He 0003, Mansun Chan A 140-mV Variation-Tolerant Deep Sub-Threshold SRAM in 65-nm CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Wei Jin 0004, Weifeng He, Jianfei Jiang 0001, Haichao Huang, Xuejun Zhao, Yanan Sun 0003, Xin Chen, Naifeng Jing A 0.33 V 2.5 μW cross-point data-aware write structure, read-half-select disturb-free sub-threshold SRAM in 130 nm CMOS. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Liang Wen, Haibo Wen, Xiaoyang Zeng Sub-threshold level converter with internal supply feedback for multi-voltage applications. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Tripurari Sharan, Vijaya Bhadauria Fully Differential, Bulk-Driven, Class AB, Sub-Threshold OTA With Enhanced Slew Rates and Gain. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Behzad Zeinali, Jens Kargaard Madsen, Praveen Raghavan, Farshad Moradi Low-leakage sub-threshold 9 T-SRAM cell in 14-nm FinFET technology. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Yuhua Liang, Zhangming Zhu A 0.6 V 31 nW 25 ppm/°C MOSFET-only sub-threshold voltage reference. Search on Bibsonomy Microelectron. J. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Manash Chanda, Tanushree Ganguli, Sandipta Mal, Anindita Podder, Chandan Kumar Sarkar Energy Efficient Adiabatic Logic Styles in Sub-Threshold Region for Ultra Low Power Application. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Niklas Lotze, Yiannos Manoli Ultra-Sub-Threshold Operation of Always-On Digital Circuits for IoT Applications by Use of Schmitt Trigger Gates. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Jeyashree Krishnan, PierGianLuca Porta Mana, Moritz Helias, Markus Diesmann, Edoardo Di Napoli Perfect Detection of Spikes in the Linear Sub-threshold Dynamics of Point Neurons. Search on Bibsonomy Frontiers Neuroinformatics The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Jingjing Guo, Jizhe Zhu, Min Wang, Jianxin Nie, Xinning Liu, Wei Ge, Jun Yang 0006 Analytical inverter chain's delay and its variation model for sub-threshold circuits. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Jingjing Guo, Min Wang, Jizhe Zhu, Xinning Liu, Jun Yang 0006 Analytical hold timing fixing for sub-threshold circuit based on its lognormal distribution. Search on Bibsonomy PATMOS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Esteve Amat, Antonio Calomarde, Ramon Canal, Antonio Rubio 0001 Suitability of FinFET introduction into eDRAM cells for operate at sub-threshold level. Search on Bibsonomy PATMOS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Moeen Hassanalieragh, J. Daniel Newman, Kenneth Fourspring, Zeljko Ignjatovic THz detection in sub-threshold Si MOSFETs by non-linear channel electron density modulation. Search on Bibsonomy MWSCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Jie Liu, M. P. Anantram, Xu Xu, Jiwu Lu Analysis of sub-threshold electron transport properties of ultra-scaled amorphous phase change material germanium telluride (invited paper). Search on Bibsonomy ASICON The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Xin Fan 0002, Jan Stuijt, Rui Wang, Bo Liu, Tobias Gemmeke Re-addressing SRAM design and measurement for sub-threshold operation in view of classic 6T vs. standard cell based implementations. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Truong Van Cong Thuong, Young-Jun Park, Truong Thi Kim Nga, Kang-Yoon Lee A sub-threshold ultra-low power low-dropout regulator. Search on Bibsonomy ISOCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Hui Geng, Jianming Liu 0001, Jinglan Liu, Pei-Wen Luo, Liang-Chia Cheng, Steven L. Grant, Yiyu Shi 0001 Selective body biasing for post-silicon tuning of sub-threshold designs: A semi-infinite programming approach with Incremental Hypercubic Sampling. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15C. B. Kushwah, Santosh Kumar Vishvakarma, Devesh Dwivedi Single-Ended Boost-Less (SE-BL) 7T Process Tolerant SRAM Design in Sub-threshold Regime for Ultra-Low-Power Applications. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15C. B. Kushwah, Santosh Kumar Vishvakarma, Devesh Dwivedi A 20 nm robust single-ended boost-less 7T FinFET sub-threshold SRAM cell under process-voltage-temperature variations. Search on Bibsonomy Microelectron. J. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Tripurari Sharan, Vijaya Bhadauria Sub-threshold, cascode compensated, bulk-driven OTAs with enhanced gain and phase-margin. Search on Bibsonomy Microelectron. J. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Zhangming Zhu, Jin Hu 0006, Yutao Wang A 0.45 V, Nano-Watt 0.033% Line Sensitivity MOSFET-Only Sub-Threshold Voltage Reference With no Amplifiers. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15James Myers, Pranay Prabhat, Anand Savanth, Sheng Yang, Rohan Gaddh Design challenges for near and sub-threshold operation: A case study with an ARM Cortex-M0+ based WSN subsystem. Search on Bibsonomy PATMOS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Dino Michelon, Emmanuel Bergeret, Antonio Di Giacomo, Philippe Pannier RF energy harvester with sub-threshold step-up converter. Search on Bibsonomy IEEE RFID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Tatsuya Kamakari, Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera A closed-form stability model for cross-coupled inverters operating in sub-threshold voltage region. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Mingzhong Li, Chio-In Ieong, Man-Kay Law, Pui-In Mak, Mang I Vai, Sio-Hang Pun, Rui Paulo Martins Sub-threshold VLSI logic family exploiting unbalanced pull-up/down network, logical effort and inverse-narrow-width techniques. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Dinesh Kushwaha, D. K. Mishra A 415 nW, 0.8 V, voltage reference circuit using MOSFETs in saturation and sub-threshold regions. Search on Bibsonomy ICIIS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Marc Pons 0001, Thanh-Chau Le, Claude Arm, Daniel Séverac, Jean-Luc Nagel, Marc-Nicolas Morgan, Stéphane Emery Sub-threshold latch-based icyflex2 32-bit processor with wide supply range operation. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15He Qi, Oluseyi A. Ayorinde, Benton H. Calhoun An energy-efficient near/sub-threshold FPGA interconnect architecture using dynamic voltage scaling and power-gating. Search on Bibsonomy FPT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Abhishek Roy 0002, Peter J. Grossmann, Steven A. Vitale, Benton H. Calhoun A 1.3µW, 5pJ/cycle sub-threshold MSP430 processor in 90nm xLP FDSOI for energy-efficient IoT applications. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Hailiang Zhou, Xiantuo Tang, Minxuan Zhang, Yue Hao Sub-threshold Performance Driven Choice in Tunneling CNFETs. Search on Bibsonomy NCCET The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Marco Donato, R. Iris Bahar, William R. Patterson, Alexander Zaslavsky A fast simulator for the analysis of sub-threshold thermal noise transients. Search on Bibsonomy DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Pasquale Corsonello, Fabio Frustaci, Stefania Perri Power supply noise in accurate delay model for the sub-threshold domain. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Weng-Geng Ho, Kwen-Siong Chong, Bah-Hwee Gwee, Joseph S. Chang Low power sub-threshold asynchronous quasi-delay-insensitive 32-bit arithmetic and logic unit based on autonomous signal-validity half-buffer. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Hui Geng, Jianming Liu 0001, Pei-Wen Luo, Liang-Chia Cheng, Steven L. Grant, Yiyu Shi 0001 Selective Body Biasing for Post-Silicon Tuning of Sub-Threshold Designs: An Adaptive Filtering Approach. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Sanjit Kumar Swain, Sarosij Adak, Bikash Sharma, Sudhansu Kumar Pati, Chandan Kumar Sarkar Effect of Channel Thickness and Doping Concentration on Sub-Threshold Performance of Graded Channel and Gate Stack DG MOSFETs. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Jiaoyan Chen, Sorin Cotofana, Satish Grandhi, Christian Spagnol, Emanuel M. Popovici Inverse Gaussian distribution based timing analysis of Sub-threshold CMOS circuits. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Sung-Dae Yeo, Young-Jin Jang, Kyung-Ryang Lee, Seong-Kweon Kim Design of sub-threshold current memory circuit for low power ADC. Search on Bibsonomy Inf. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Abdoul Rjoub, Motasem Ajlouni, Hassan Manasrah A fast input vector control approach for sub-threshold leakage current reduction at nanoscale transistors. Search on Bibsonomy Int. J. Model. Identif. Control. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Jun Zhou 0017, Chao Wang 0016, Xin Liu 0015, Xin Zhang 0025, Minkyu Je An Ultra-Low Voltage Level Shifter Using Revised Wilson Current Mirror for Fast and Energy-Efficient Wide-Range Voltage Conversion from Sub-Threshold to I/O Voltage. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Vinaya M. M., Roy P. Paily, Anil Mahanta A New PVT Compensation Technique Based on Current Comparison for Low-Voltage, Near Sub-Threshold LNA. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Zhiqing Chen, Chuifu Dan, Yiling Ding, Li Tian, Qi Zhang 0027, Hui Wang 0036, Songlin Feng A 21.4 pW/frame-pixel PWM image sensor with sub-threshold leakage reduction and two-step readout. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Ron Diamant, Ran Ginosar, Christos P. Sotiriou Asynchronous sub-threshold ultra-low power processor. Search on Bibsonomy PATMOS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Sukneet Basuta, Maitham Shams Single-ended 6T sub-threshold SRAM with horizontal local bit-lines. Search on Bibsonomy MWSCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Behzad Zeinali, Jens Kargaard Madsen, Praveen Raghavan, Farshad Moradi Sub-Threshold SRAM Design in 14 Nm FinFET Technology with Improved Access Time and Leakage Power. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Christian Piguet, Marc Pons 0001, Daniel Séverac Sub-Threshold Design and Architectural Choices. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Jeremy Schlachter, Vincent Camus, Christian C. Enz Near/Sub-Threshold Circuits and Approximate Computing: The Perfect Combination for Ultra-Low-Power Systems. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Sergiu Nimara, Alexandru Amaricai, Mircea Popa 0001 Sub-threshold CMOS circuits reliability assessment using simulated fault injection based on simulator commands. Search on Bibsonomy SACI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15He Qi, Oluseyi A. Ayorinde, Yu Huang 0015, Benton H. Calhoun Optimizing energy efficient low-swing interconnect for sub-threshold FPGAs. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Marco Donato, R. Iris Bahar, William R. Patterson, Alexander Zaslavsky A Simulation Framework for Analyzing Transient Effects Due to Thermal Noise in Sub-Threshold Circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Liang Wen, Li Li 0038, Haibo Wen, Xiaoyang Zeng Energy-efficient sub-threshold level shifter. Search on Bibsonomy ASICON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Ranga Babu Ganta, Mengye Cai, Kyle Fricke, Robert Sobot Design of a 50nW, 0.5VDD sub-threshold OTA amplifier with indirect compensation technique and class AB output stage. Search on Bibsonomy CCECE The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Yutao Wang, Zhangming Zhu, Jiaojiao Yao, Yintang Yang A 0.45 V, 15.6 nW MOSFET-only sub-threshold voltage reference with no amplifiers. Search on Bibsonomy A-SSCC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Prashant Khot, Rajashekar B. Shettar Design of area efficient and low power bandgap voltage reference using sub-threshold MOS transistors. Search on Bibsonomy VDAT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Hiroshi Fuketa, Masahiro Nomura, Makoto Takamiya, Takayasu Sakurai Intermittent Resonant Clocking Enabling Power Reduction at Any Clock Frequency for Near/Sub-Threshold Logic Circuits. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Kyle Craig, Yousef Shakhsheer, Saad Arrabi, Sudhanshu Khanna, John C. Lach, Benton H. Calhoun A 32 b 90 nm Processor Implementing Panoptic DVS Achieving Energy Efficient Operation From Sub-Threshold to High Performance. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Alessandro Barenghi, Cédric Hocquet, David Bol, François-Xavier Standaert, Francesco Regazzoni 0001, Israel Koren A Combined Design-Time/Test-Time Study of the Vulnerability of Sub-Threshold Devices to Low Voltage Fault Attacks. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15K. Keerti Kumar, N. Bheema Rao Power gating Technique using FinFET for Minimization of sub-Threshold Leakage Current. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Pasquale Corsonello, Marco Lanuzza, Stefania Perri Gate-level body biasing technique for high-speed sub-threshold CMOS logic gates. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Vijay Kumar Sharma, Sumit Patel, Manisha Pattanaik High Performance Process Variations Aware Technique for Sub-threshold 8T-SRAM Cell. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15P. Suveetha Dhanaselvam, N. B. Balamurugan A 2D sub-threshold current model for single halo triple material surrounding gate (SHTMSG) MOSFETs. Search on Bibsonomy Microelectron. J. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Shibani Santurkar, Bipin Rajendran Sub-threshold CMOS Spiking Neuron Circuit Design for Navigation Inspired by C. elegans Chemotaxis. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
15Rakesh Gupta Design of a Low Voltage Class-AB CMOS Super Buffer Amplifier with Sub Threshold and Leakage Control. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
15Bahniman Ghosh, Partha Mondal, M. W. Akram, Punyasloka Bal Impact of High- Spacer on Junctionless Transistor in Sub-Threshold Regime. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Pasquale Corsonello, Fabio Frustaci, Marco Lanuzza, Stefania Perri Over/Undershooting Effects in Accurate Buffer Delay Model for Sub-Threshold Domain. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 401 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license