|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 4253 occurrences of 2522 keywords
|
|
|
Results
Found 10035 publication records. Showing 10035 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
11 | Yiqun Ge, David Y. Y. Yun |
Simultaneous Compression of Makespan and Number of Processors Using CRP. |
IPPS |
1996 |
DBLP DOI BibTeX RDF |
2D Compression, Constrained Resource Planning, Dependency, Resource Management, Granularity, Multiprocessor Scheduling, Completion Time, Inherent Parallelism, Parallel Programming Tool |
11 | Frank Maurer |
Project coordination in design processes. |
WETICE |
1996 |
DBLP DOI BibTeX RDF |
project coordination, decision dependency, CoMo-Kit system, urban land-use planning, software engineering, World Wide Web, planning, project management, software agents, process model, complex systems, design processes, distributed development |
11 | Jong-Chuang Tsay, Pen-Yuang Chang |
Design of Space-Optimal Regular Arrays for Algorithms with Linear Schedules. |
IEEE Trans. Computers |
1995 |
DBLP DOI BibTeX RDF |
systolic array, matrix multiplication, data dependency, optimizing compiler, space-optimal, Algorithm mapping, linear schedule |
11 | Xiaola Lin, Philip K. McKinley, Lionel M. Ni |
The Message Flow Model for Routing in Wormhole-Routed Networks. |
IEEE Trans. Parallel Distributed Syst. |
1995 |
DBLP DOI BibTeX RDF |
Channel dependency graph, message flow model, wormhole routing, adaptive routing, mesh networks, multicast communication, deadlock-free routing |
11 | Mark Levene, George Loizou |
A Graph-Based Data Model and its Ramifications. |
IEEE Trans. Knowl. Data Eng. |
1995 |
DBLP DOI BibTeX RDF |
Graph-based data model, set-based data model, hypernode database, hypernode functional dependency, query and update language, computable update, non-well-founded sets, hypertext |
11 | Jerry L. Bickham |
Parallel ocean modeling using Glenda. |
ACM Southeast Regional Conference |
1995 |
DBLP DOI BibTeX RDF |
SWEM, array dependency, glenda, ocean dynamics, parallel, PVM, linda, tuple |
11 | Jacob Savir |
Generator choices for delay test. |
Asian Test Symposium |
1995 |
DBLP DOI BibTeX RDF |
BIST based delay test, generator choices, delay test vector generator, nonscan designs, transition test, skewed-load delay test, shift dependency, digital logic circuits, performance, VLSI, fault diagnosis, logic testing, delays, built-in self test, integrated circuit testing, ATPG, automatic testing, flexibility, linear feedback shift register, cost, shift registers, scan designs, boundary scan testing, test vectors, timing requirement, pseudo-random test |
11 | Dominique Laurent 0001, Nicolas Spyratos |
A Partition Model Approach to Updating Universal Scheme Interfaces. |
IEEE Trans. Knowl. Data Eng. |
1994 |
DBLP DOI BibTeX RDF |
partition model, universal scheme interface updating, relational database updating, counterintuitive results, partition semantics, relational databases, database theory, relational algebra, functional dependency, nondeterminism, relational model, deletions, universal relation |
11 | Won S. Lee, Phillip C.-Y. Sheu |
An Object-Oriented Query Evaluation Scheme for Logical Databases in Massively Parallel Environment. |
IEEE Trans. Knowl. Data Eng. |
1994 |
DBLP DOI BibTeX RDF |
object-oriented query evaluation scheme, massively parallel environment, object-based representation, parallel matching approach, interpretive method, parallel programming, knowledge based systems, distributed databases, object-oriented databases, deductive databases, deductive databases, data dependency, conjunctive queries, shared variables, AND-parallelism, parallel evaluation, logical databases, logical representations |
11 | Tok Wang Ling, Ling-Ling Yan |
NF-NR: A practical normal form for nested relations. |
J. Syst. Integr. |
1994 |
DBLP DOI BibTeX RDF |
Entity-Relationship Approach, extended-functional dependency, normal forms, Nested relation |
11 | Eric S. K. Yu, John Mylopoulos |
Understanding "Why" in Software Process Modelling, Analysis, and Design. |
ICSE |
1994 |
DBLP BibTeX RDF |
actor dependency, requirements engineering, software process modelling, organization modelling |
11 | Junguk L. Kim, Taesoon Park |
An Efficient Protocol for Checkpointing Recovery in Distributed Systems. |
IEEE Trans. Parallel Distributed Syst. |
1993 |
DBLP DOI BibTeX RDF |
checkpointing recovery, synchronized checkpointing protocol, checkpointing coordinator, distributed systems, protocols, distributed processing, synchronisation, system recovery, dependency relation |
11 | Amitava Dutta, Sabyasachi Mitra |
Integrating Heuristic Knowledge and Optimization Models for Communications Network Design. |
IEEE Trans. Knowl. Data Eng. |
1993 |
DBLP DOI BibTeX RDF |
communication network design, heuristic design knowledge, TMS, dependency-directed backtracking mechanism, hybrid tool, modular structure, incremental refinement, truth maintenance, CAD, knowledge based systems, decision support systems, computer networks, telecommunications computing, optimization models, blackboard, blackboard architecture, justification, topological design, truth maintenance system, computer communication networks |
11 | Albert Croker, Vasant Dhar |
A Knowledge Representation for Constraint Satisfaction Problems. |
IEEE Trans. Knowl. Data Eng. |
1993 |
DBLP DOI BibTeX RDF |
constraint-driven reasoner, control information, preference orderings, knowledge representation, knowledge representation, inference mechanisms, constraint satisfaction problems, constraint handling, Boolean expressions, dependency network |
11 | Yehoshua Sagiv, Oded Shmueli |
Solving Queries by Tree Projections. |
ACM Trans. Database Syst. |
1993 |
DBLP DOI BibTeX RDF |
monotone join expression, qual graph, semijoin reduction, tree projection, tree schema, relational database, projection, hypergraph, join, chase, database schema, acyclicity, semijoin, tableau, inclusion dependency |
11 | Dilip Sarkar, Amar Mukherjee |
Design of Optimal Systolic Algorithms for the Transitive Closure Problem. |
IEEE Trans. Computers |
1992 |
DBLP DOI BibTeX RDF |
transitive closure problem, optimal systolic algorithms, Warshal-Floyd algorithm, pipelined parallel algorithms, RCT diagram, parallel algorithms, data dependency, systolic algorithms |
11 | Matthew T. O'Keefe, José A. B. Fortes, Benjamin W. Wah |
On the Relationship Between Two Systolic Array Design Mehodologies. |
IEEE Trans. Computers |
1992 |
DBLP DOI BibTeX RDF |
systolic array design methodologies, parameter method, data dependency method, optimal array, deconvolution algorithm, optimization, parallel algorithms, optimisation, systolic arrays, logic CAD |
11 | Daniel C. McCrackin |
Eliminating Interlocks in Deeply Pipelined Processors by Delay Enforced Multistreaming. |
IEEE Trans. Computers |
1991 |
DBLP DOI BibTeX RDF |
deeply pipelined processors, delay enforced multistreaming, data dependency problem, jump problem, interdispatch delay, stream dispatching algorithms, modified fixed delay, encoded delay with fixed minimum, pipeline processing, processor architecture, interleaving, interlocks |
11 | Ian T. Foster |
Automatic Generation of Self-Scheduling Programs. |
IEEE Trans. Parallel Distributed Syst. |
1991 |
DBLP DOI BibTeX RDF |
self-scheduling programs, high-level concurrent language, data dependency information, control statements, self-scheduling compiler, application code, control statements, scheduling routines, scheduling, parallel programs, parallel programming, partitioning, automatic programming, high-level language, application programs, application generators, automatic generation, control structures, source-to-source transformation |
11 | Peretz Shoval |
One-to-One Dependencies in Database Design. |
IEEE Trans. Knowl. Data Eng. |
1991 |
DBLP DOI BibTeX RDF |
1:1 dependency type, automated database design, data structures, software tools, database management systems, logical design, database design, ADDS |
11 | Toru Ishida 0001 |
Parallel Rule Firing in Production Systems. |
IEEE Trans. Knowl. Data Eng. |
1991 |
DBLP DOI BibTeX RDF |
parallel rule firing, parallel execution model, parallel firing simulator, performance, parallel programming, expert systems, programming environments, production systems, parallel programming environment, forward chaining, data dependency graph, selection algorithm, interference analysis |
11 | Alberto O. Mendelzon, Peter T. Wood |
Functional Dependencies in Horn Clause Queries. |
ACM Trans. Database Syst. |
1991 |
DBLP DOI BibTeX RDF |
logic programming, relational database, data flow analysis, functional dependency |
11 | Alexandru Nicolau |
Run-Time Disambiguation: Coping with Statically Unpredictable Dependencies. |
IEEE Trans. Computers |
1989 |
DBLP DOI BibTeX RDF |
statically unpredictable dependencies, run-time disambiguation, indirect memory references, run-time behavior, static transformations, static dependency analysis, Bulldog compiler, parallelism, code, program compilers, antialiasing, memory disambiguation, compile time, RTD |
11 | Philip G. Emma, Edward S. Davidson |
Characterization of Branch and Data Dependencies in Programs for Evaluating Pipeline Performance. |
IEEE Trans. Computers |
1987 |
DBLP DOI BibTeX RDF |
Branch delay, performance analysis, pipeline, data dependency, program trace, trace reduction |
11 | Yehoshua Sagiv |
A Characterization of Globally Consistent Databases and Their Correct Access Paths. |
ACM Trans. Database Syst. |
1983 |
DBLP DOI BibTeX RDF |
extension join, representative instance, universal relation scheme, relational database, relational algebra, functional dependency, chase, null value |
11 | Raymond Fadous |
A hierarchical method for synthesizing relations. |
ACM Southeast Regional Conference |
1982 |
DBLP DOI BibTeX RDF |
functional dependency, relational model, database schema, third normal form |
11 | Joseph A. Fisher |
Trace Scheduling: A Technique for Global Microcode Compaction. |
IEEE Trans. Computers |
1981 |
DBLP DOI BibTeX RDF |
global microcode optimization, microcode compaction, parallel instruction scheduling, parallel processing, Data dependency, resource conflict |
11 | Y. Edmund Lien |
Hierarchical Schemata for Relational Databases. |
ACM Trans. Database Syst. |
1981 |
DBLP DOI BibTeX RDF |
relation normalization, database design, multivalued dependency, lossless join |
11 | J. Bradley |
An Extended Owner-Coupled Set Data Model and Predicate Calculus for Database Management. |
ACM Trans. Database Syst. |
1978 |
DBLP DOI BibTeX RDF |
Codasyl DBTG, DSL AlPHA, connection field, extended Bachman diagram, extended owner-coupled set data model, extended owner-coupled set predicate calculus, information bearing set name, owner-coupled set, static set, virtual set, functional dependency, dynamic set |
11 | Michitaka Kameyama, Tatsuo Higuchi 0001 |
Synthesis of Multiple-Valued Logic Networks Based on Tree-Type Universal Logic Module. |
IEEE Trans. Computers |
1977 |
DBLP DOI BibTeX RDF |
Compatible set, complex disjunctive decomposition, control variables, residue functions, row multiplicity, ternary T-gate, tree-type universal logic module (T-ULM), true and constant inputs, dependency, expansion |
11 | Philip A. Bernstein |
Synthesizing Third Normal Form Relations from Functional Dependencies. |
ACM Trans. Database Syst. |
1976 |
DBLP DOI BibTeX RDF |
semantics of data, functional dependency, relational model, database schema, third normal form |
10 | Tomoharu Iwata, Takeshi Yamada, Yasushi Sakurai, Naonori Ueda |
Online multiscale dynamic topic models. |
KDD |
2010 |
DBLP DOI BibTeX RDF |
online learning, topic model, time-series analysis |
10 | Adam Chlipala |
Ur: statically-typed metaprogramming with type-level record computation. |
PLDI |
2010 |
DBLP DOI BibTeX RDF |
dependent types, metaprogramming |
10 | Bo Zhang 0016, Binoy Ravindran |
Brief announcement: on enhancing concurrency in distributed transactional memory. |
PODC |
2010 |
DBLP DOI BibTeX RDF |
concurrency, transactional memory |
10 | Neel Krishnaswami, Lars Birkedal, Jonathan Aldrich |
Verifying event-driven programs using ramified frame properties. |
TLDI |
2010 |
DBLP DOI BibTeX RDF |
frame rule, ramification problem, subject-observer, dataflow, separation logic, functional reactive programming |
10 | Jean-Yves Marion, Romain Péchoux |
Sup-interpretations, a semantic method for static analysis of program resources. |
ACM Trans. Comput. Log. |
2009 |
DBLP DOI BibTeX RDF |
static analysis of first-order languages, Resources control |
10 | Weifeng Xu, Russell Tessier |
Tetris-XL: A performance-driven spill reduction technique for embedded VLIW processors. |
ACM Trans. Archit. Code Optim. |
2009 |
DBLP DOI BibTeX RDF |
Very Long Instruction Word (VLIW) processor, instruction level parallelism, Register pressure |
10 | Vasileios Pappas, Duane Wessels, Daniel Massey, Songwu Lu, Andreas Terzis, Lixia Zhang 0001 |
Impact of configuration errors on DNS robustness. |
IEEE J. Sel. Areas Commun. |
2009 |
DBLP DOI BibTeX RDF |
|
10 | Zhen-Hua Ling, Korin Richmond, Junichi Yamagishi, Ren-Hua Wang |
Integrating Articulatory Features Into HMM-Based Parametric Speech Synthesis. |
IEEE Trans. Speech Audio Process. |
2009 |
DBLP DOI BibTeX RDF |
|
10 | Qijun Zhao, Lei Zhang 0006, David Zhang 0001, Nan Luo |
Direct Pore Matching for Fingerprint Recognition. |
ICB |
2009 |
DBLP DOI BibTeX RDF |
pore matching, level-3 features, fusion, Fingerprint recognition |
10 | Takeshi S. Kobayakawa, Tadashi Kumano, Hideki Tanaka, Naoaki Okazaki, Jin-Dong Kim, Jun'ichi Tsujii |
Opinion classification with tree kernel SVM using linguistic modality analysis. |
CIKM |
2009 |
DBLP DOI BibTeX RDF |
large-scale statistical techniques, knowledge management, text mining, sentiment analysis |
10 | Sergio Sayago, Josep Blat |
Older People and ICT: Towards Understanding Real-Life Usability and Experiences Created in Everyday Interactions with Interactive Technologies. |
HCI (5) |
2009 |
DBLP DOI BibTeX RDF |
real interaction, usability, Ethnography, experience, older people |
10 | René Thiemann, Christian Sternagel |
Certification of Termination Proofs Using CeTA. |
TPHOLs |
2009 |
DBLP DOI BibTeX RDF |
|
10 | Zhen Chen, Boxue Yin, Dong Xiang |
Conflict driven scan chain configuration for high transition fault coverage and low test power. |
ASP-DAC |
2009 |
DBLP DOI BibTeX RDF |
|
10 | Lidija Comic, Leila De Floriani |
Tree-Based Encoding for Cancellations on Morse Complexes. |
IWCIA |
2009 |
DBLP DOI BibTeX RDF |
Morse complexes, simplification operators, graph-based representation, augmented cancellation forest, Morse theory |
10 | Divesh Srivastava |
Data Auditor: Analyzing Data Quality Using Pattern Tableaux. |
ER |
2009 |
DBLP DOI BibTeX RDF |
|
10 | Daniel R. Licata, Robert Harper 0001 |
Positively dependent types. |
PLPV |
2009 |
DBLP DOI BibTeX RDF |
agda, dependent types, polarity |
10 | Yan Liu 0002, Jayant R. Kalagnanam, Oivind Johnsen |
Learning dynamic temporal graphs for oil-production equipment monitoring system. |
KDD |
2009 |
DBLP DOI BibTeX RDF |
graphical models, time series data, structure learning |
10 | Thomas Ropars, Christine Morin |
Active Optimistic Message Logging for Reliable Execution of MPI Applications. |
Euro-Par |
2009 |
DBLP DOI BibTeX RDF |
|
10 | Martin Scaiano, Diana Inkpen |
Automatic Frame Extraction from Sentences. |
Canadian AI |
2009 |
DBLP DOI BibTeX RDF |
|
10 | Michael Demko, Gerald Penn |
Statistical Parsing with Context-Free Filtering Grammar. |
Canadian AI |
2009 |
DBLP DOI BibTeX RDF |
|
10 | Kwanwoo Lee, Goetz Botterweck, Steffen Thiel |
Aspectual Separation of Feature Dependencies for Flexible Feature Composition. |
COMPSAC (1) |
2009 |
DBLP DOI BibTeX RDF |
|
10 | Miaoqing Huang, Harald Simmler, Olivier Serres, Tarek A. El-Ghazawi |
RDMS: A hardware task scheduling algorithm for Reconfigurable Computing. |
IPDPS |
2009 |
DBLP DOI BibTeX RDF |
|
10 | Simone Calderara, Andrea Prati 0001, Rita Cucchiara |
Learning People Trajectories Using Semi-directional Statistics. |
AVSS |
2009 |
DBLP DOI BibTeX RDF |
|
10 | Eric Brier, Shahram Khazaei, Willi Meier, Thomas Peyrin |
Linearization Framework for Collision Attacks: Application to CubeHash and MD6. |
ASIACRYPT |
2009 |
DBLP DOI BibTeX RDF |
CubeHash and MD6, Hash functions, collisions, differential attack, SHA-3 |
10 | Danhua Shao, Sarfraz Khurshid, Dewayne E. Perry |
SCA: a semantic conflict analyzer for parallel changes. |
ESEC/SIGSOFT FSE |
2009 |
DBLP DOI BibTeX RDF |
data flow, semantic analysis, version management |
10 | Qussai Yaseen, Brajendra Panda |
Knowledge Acquisition and Insider Threat Prediction in Relational Database Systems. |
CSE (3) |
2009 |
DBLP DOI BibTeX RDF |
|
10 | Tarik Hadzic, Barry O'Sullivan |
Uncovering functional dependencies in MDD-compiled product catalogues. |
RecSys |
2009 |
DBLP DOI BibTeX RDF |
functional dependencies, decision diagrams |
10 | Md. Sumon Shahriar, Jixue Liu |
Checking Satisfactions of XML Referential Integrity Constraints. |
AMT |
2009 |
DBLP DOI BibTeX RDF |
|
10 | Pietro Abate, Roberto Di Cosmo, Jaap Boender, Stefano Zacchiroli |
Strong dependencies between software components. |
ESEM |
2009 |
DBLP DOI BibTeX RDF |
|
10 | Eric Bodden, Feng Chen 0006, Grigore Rosu |
Dependent advice: a general approach to optimizing history-based aspects. |
AOSD |
2009 |
DBLP DOI BibTeX RDF |
compilation, domain-specific languages, runtime verification, static program analysis |
10 | Václav Klimes |
Detecting and Correcting Errors in an English Tectogrammatical Annotation. |
TSD |
2009 |
DBLP DOI BibTeX RDF |
|
10 | Changgu Kang, Yoosoo Oh, Woontack Woo |
An Architecture for Flexible Entity Configuration in a Simulation Environment. |
Edutainment |
2009 |
DBLP DOI BibTeX RDF |
Simulator, virtual reality, context-awareness, smart space |
10 | Evgeny V. Shchepin, Nodari Vakhania |
On the geometry, preemptions and complexity of multiprocessor and shop scheduling. |
Ann. Oper. Res. |
2008 |
DBLP DOI BibTeX RDF |
Shop scheduling, Algorithm, Time complexity, Multiprocessor scheduling, Preemption |
10 | Zhi Guo, Walid A. Najjar, Betul Buyukkurt |
Efficient hardware code generation for FPGAs. |
ACM Trans. Archit. Code Optim. |
2008 |
DBLP DOI BibTeX RDF |
FPGA, high-level synthesis, VHDL, Reconfigurable computing, data reuse |
10 | Kamalika Das, Kanishka Bhaduri, Kun Liu 0001, Hillol Kargupta |
Distributed Identification of Top-l Inner Product Elements and its Application in a Peer-to-Peer Network. |
IEEE Trans. Knowl. Data Eng. |
2008 |
DBLP DOI BibTeX RDF |
Data mining, Probabilistic algorithms, Mining methods and algorithms, Knowledge management applications, Algorithms for data and knowledge management |
10 | Jaewoo Kang, Jeffrey F. Naughton |
Schema Matching Using Interattribute Dependencies. |
IEEE Trans. Knowl. Data Eng. |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Wojciech Ziarko |
Probabilistic Dependencies in Linear Hierarchies of Decision Tables. |
Trans. Rough Sets |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Cheolhong An, Truong Q. Nguyen |
Iterative Rate-Distortion Optimization of H.264 With Constant Bit Rate Constraint. |
IEEE Trans. Image Process. |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Qiang Wei, Guoqing Chen, Xiaocang Zhou |
Optimized Algorithm of Discovering Functional Dependencies with Degrees of Satisfaction Based on Attribute Pre-scanning Operation. |
Soft Computing for Hybrid Intelligent Systems |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Josef Schwarz, Jirí Jaros |
Parallel Bivariate Marginal Distribution Algorithm with Probability Model Migration. |
Linkage in Evolutionary Computation |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Pawan Goyal 0002, Vipul Arora 0001, Laxmidhar Behera |
Analysis of Sanskrit Text: Parsing and Semantic Relations. |
Sanskrit Computational Linguistics |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Gan Deng, Douglas C. Schmidt, Aniruddha S. Gokhale |
CaDAnCE: A Criticality-Aware Deployment and Configuration Engine. |
ISORC |
2008 |
DBLP DOI BibTeX RDF |
Open Distributed Real-time and Embedded systems, Deployment and Configuration, Component middleware |
10 | Haifeng Chen, Haibin Cheng, Guofei Jiang, Kenji Yoshihira |
Exploiting Local and Global Invariants for the Management of Large Scale Information Systems. |
ICDM |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Johan Hall, Joakim Nivre |
Parsing Discontinuous Phrase Structure with Grammatical Functions. |
GoTAL |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Huizhong Sun, Yan Zhaung, H. Jonathan Chao |
A Principal Components Analysis-Based Robust DDoS Defense System. |
ICC |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Cornelius Hellge, Thomas Schierl, Thomas Wiegand |
Multidimensional Layered Forward Error Correction Using Rateless Codes. |
ICC |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Cheolhong An, Truong Q. Nguyen |
Iterative R-D optimization of H.264. |
ICASSP |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Pasi Fränti, Olli Virmajoki, Ville Hautamäki |
Probabilistic clustering by random swap algorithm. |
ICPR |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Hiroyuki Kimiyama, Shuichi Itoh |
Method of predicting number of on-demand video requests using time series data for video cache system. |
MoMM |
2008 |
DBLP DOI BibTeX RDF |
requests prediction, video-on-demand, cache algorithm |
10 | Ruipeng Li, Hai Jiang 0003, Hung-Chi Su, Bin Zhang 0005, Jeff Jenness |
Parallel and Distributed Particle Collision Simulation with Decentralized Control. |
GPC |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Takashi Enami, Shinyu Ninomiya, Masanori Hashimoto |
Statistical timing analysis considering spatially and temporally correlated dynamic power supply noise. |
ISPD |
2008 |
DBLP DOI BibTeX RDF |
principal component analysis, gaussianization, power supply noise, statistical timing analysis |
10 | Zongtao Zhao, Jun Wei 0001, Li Lin, Xiaoning Ding |
A Concurrency Control Mechanism for Composite Service Supporting User-Defined Relaxed Atomicity. |
COMPSAC |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Wei Cheng |
Streaming of 3D progressive meshes. |
ACM Multimedia |
2008 |
DBLP DOI BibTeX RDF |
peer-to-peer, progressive meshes, view-dependent, 3d streaming |
10 | Jiaying Liu 0001, Yongjin Cho, Zongming Guo, C.-C. Jay Kuo |
Bit allocation for spatial scalability in H.264/SVC. |
MMSP |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Youdong Zhang |
Cooperation Forensic Computing Research. |
WKDD |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Dirk Thierens |
A bivariate probabilistic model-building genetic algorithm for graph bipartitioning. |
GECCO (Companion) |
2008 |
DBLP DOI BibTeX RDF |
probabilistic model-building EAs |
10 | José R. Pérez-Agüera, Hugo Zaragoza |
Query Clauses and Term Independence. |
CLEF |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Luisa Franchina, Marco Carbonelli, Laura Gratta, Claudio Petricca, Daniele Perucchini |
An Effective Approach for Cascading Effects Prevision in Critical Infrastructures. |
CRITIS |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Rüdiger Klein, Erich Rome, Césaire Beyel, Ralf Linnemann, Wolf Reinhardt, Andrij Usov |
Information Modelling and Simulation in Large Interdependent Critical Infrastructures in IRRIIS. |
CRITIS |
2008 |
DBLP DOI BibTeX RDF |
CI dependability, CI dependencies, federated simulation, information modelling, simulation environment |
10 | Xie Limei |
Demand-Driven Weak Clock Synchronization for Distributed Applications. |
CSSE (3) |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Qin Jie, Li Guoping, Ma Min |
An Innovative Way to Implement Hierarchical Recovery Protocol in WAN. |
CSSE (3) |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Bin Zhang, Feiyue Ye |
Semantics Based Multi-XML Query Algorithm. |
CSSE (4) |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Zhang Youdong |
Multi Relational Mining in Network Intrusion Detection. |
FSKD (4) |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Hong Min, Junyoung Heo, Yookun Cho, Kahyun Lee, Jaegi Son, Byunghun Song |
A Module Management Scheme for Dynamic Reconfiguration. |
ICCSA (1) |
2008 |
DBLP DOI BibTeX RDF |
Module Management, Wireless Sensor Networks, Operating Systems, Dynamic Reconfiguration |
10 | Hanna Scott, Claes Wohlin |
Capture-recapture in software unit testing: a case study. |
ESEM |
2008 |
DBLP DOI BibTeX RDF |
prediction, unit test, failures, faults, capture-recapture |
10 | Yi Luo 0002, D. Manivannan 0001 |
FINE: A Fully Informed aNd Efficient Communication-Induced Checkpointing Protocol. |
ICONS |
2008 |
DBLP DOI BibTeX RDF |
communication-induced checkpointing protocols, consistent global checkpoints, Distributed systems |
10 | Ning Lu |
Statistical Models and Frequency-Dependent Corner Models for Passive Devices. |
ISQED |
2008 |
DBLP DOI BibTeX RDF |
SPICE models, corner models, RF models, passive devices, statistical models |
10 | Rachid Echahed, Nicolas Peltier |
A Needed Rewriting Strategy for Data-Structures with Pointers. |
RTA |
2008 |
DBLP DOI BibTeX RDF |
|
10 | Yanjun Shu, Zhibo Wu, Hongwei Liu 0002, Xiaozong Yang |
Considering Fault Correction Lag in Software Reliability Modeling. |
PRDC |
2008 |
DBLP DOI BibTeX RDF |
|
|
|