The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ATPG with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1990 (28) 1991-1993 (24) 1994-1995 (46) 1996 (38) 1997 (37) 1998 (36) 1999 (52) 2000 (47) 2001 (42) 2002 (58) 2003 (61) 2004 (54) 2005 (65) 2006 (67) 2007 (50) 2008 (45) 2009 (30) 2010 (16) 2011-2012 (20) 2013 (16) 2014-2015 (25) 2016-2017 (27) 2018-2019 (21) 2020-2022 (23) 2023 (11)
Publication types (Num. hits)
article(216) incollection(4) inproceedings(717) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1073 occurrences of 407 keywords

Results
Found 939 publication records. Showing 939 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Vijaypal Singh Rathor, G. K. Sharma 0001 A New ATPG and Online Monitoring based Technique for Hardware Trojan Detection. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Aruna Jayasena, Prabhat Mishra 0001 Scalable Detection of Hardware Trojans Using ATPG-Based Activation of Rare Events. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Hui-Ling Zhen, Naixing Wang, Junhua Huang, Xinyue Huang, Mingxuan Yuan, Yu Huang Conflict-driven Structural Learning Towards Higher Coverage Rate in ATPG. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Soyed Tuhin Ahmed, Mehdi B. Tahoori Fault-Tolerant Neuromorphic Computing With Memristors Using Functional ATPG for Efficient Recalibration. Search on Bibsonomy IEEE Des. Test The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Zhiteng Chao, Senlin Wang, Pengyu Tian, Shuwen Yuan, Huawei Li 0001, Jing Ye 0001, Xiaowei Li 0001 A Distributed ATPG System Combining Test Compaction Based on Pure MaxSAT. Search on Bibsonomy ATS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Yuyang Ye, Zonghui Wang, Zun Xue, Ziqi Wang, Yifei Gao, Hao Yan 0002 FPGNN-ATPG: An Efficient Fault Parallel Automatic Test Pattern Generator. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Bhavesh Soni, Kishor Purohit, Dhaval Fichadia Practical Analysis of Various Approaches for Targeting Delay Faults at Functional Frequency in Automatic Test Pattern Generation (ATPG). Search on Bibsonomy iSES The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Jackson Fugate, Greg Stitt, Naren Vikram Raj Masna, Aritra Dasgupta, Swarup Bhunia, Nij Dorairaj, David Kehlet An Exploration of ATPG Methods for Redacted IP and Reconfigurable Hardware. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Sudhakar Kongala, Anuj Gupta, Yash Walia, Sahil Jain Novel Methodology to Optimize TAT and Resource Utilization for ATPG Simulations for Large SoCs. Search on Bibsonomy ITC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Zhe-Jia Liang, Yu-Tsung Wu, Yun-Feng Yang, James Chien-Mo Li, Norman Chang, Akhilesh Kumar, Ying-Shiun Li High-Speed, Low-Storage Power and Thermal Predictions for ATPG Test Patterns. Search on Bibsonomy ITC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Anchit Arun, Ananya Chakraborty, Priyanka Dutta 0004, Debajyoti Pal, Tridibesh Nag, Debasis De, Sudip Ghosh 0001, Hafizur Rahaman 0001 Power and Delay Efficient Hardware Implementation with ATPG for Vedic Multiplier Using Urdhva Tiryagbhyam Sutra. Search on Bibsonomy IAIT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Yadi Zhong, Ayush Jain 0002, M. Tanjidur Rahman, Navid Asadizanjani, Jiafeng Xie, Ujjwal Guin AFIA: ATPG-Guided Fault Injection Attack on Secure Logic Locking. Search on Bibsonomy J. Electron. Test. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Yadi Zhong, Ujjwal Guin AFIA: ATPG-Guided Fault Injection Attack on Secure Logic Locking. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Anu Asokan A Signal-Integrity Aware ATPG Flow to Generate High-Quality Patterns for Testing System-on-Chip Designs. Search on Bibsonomy VLSI-SoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Junhua Huang, Hui-Ling Zhen, Naixing Wang, Mingxuan Yuan, Hui Mao, Yu Huang, Jiping Tao Accelerate SAT-based ATPG via Preprocessing and New Conflict Management Heuristics. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Nunzio Mirabella, Andrea Floridia, Riccardo Cantoro, Michelangelo Grosso, Matteo Sonza Reorda A comparative overview of ATPG flows targeting traditional and cell-aware fault models. Search on Bibsonomy ICECS 2022 The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Soyed Tuhin Ahmed, Mehdi B. Tahoori Fault-tolerant Neuromorphic Computing with Functional ATPG for Post-manufacturing Re-calibration. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Xing Wang, Zezhong Wang 0006, Naixing Wang, Weiwei Zhang, Yu Huang Compression-Aware ATPG. Search on Bibsonomy ITC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Junhua Huang, Hui-Ling Zhen, Naixing Wang, Hui Mao, Mingxuan Yuan, Yu Huang Neural Fault Analysis for SAT-based ATPG. Search on Bibsonomy ITC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Khader S. Abdel-Hafez, Michael Dsouza, Likith Kumar Manchukonda, Elddie Tsai, Karthikeyan Natarajan, Ting-Pu Tai, Wenhao Hsueh, Smith Lai Comprehensive Power-Aware ATPG Methodology for Complex Low-Power Designs. Search on Bibsonomy ITC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Rolf Drechsler, Tommi A. Junttila, Ilkka Niemelä Non-Clausal SAT and ATPG. Search on Bibsonomy Handbook of Satisfiability The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Hua-Ren Li, Hsing-Chung Liang GPU-based ATPG System by Scaling Memory Usage and Reducing Data Transfer. Search on Bibsonomy ETS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Kunwer Mrityunjay Singh, Santosh Biswas, Jatindra Kumar Deka ATPG for Incomplete Testing of SOC Considering Bridging Faults. Search on Bibsonomy TENCON The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Yi Sun, Hui Jiang, Lakshmi Ramakrishnan, Jennifer Dworak, Kundan Nepal, Theodore W. Manikas, R. Iris Bahar Low Power Shift and Capture through ATPG-Configured Embedded Enable Capture Bits. Search on Bibsonomy ITC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17M. Sazadur Rahman, Henian Li, Rui Guo, Fahim Rahman, Farimah Farahmandi, Mark M. Tehranipoor LL-ATPG: Logic-Locking Aware Test Using Valet Keys in an Untrusted Environment. Search on Bibsonomy ITC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Jorge Corso, Saidapet Ramesh, Kumar Abishek, Ley Teng Tan, Chik Hooi Lew Multi-Transition Fault Model (MTFM) ATPG patterns towards achieving 0 DPPB on automotive designs. Search on Bibsonomy ITC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Danielle Duvalsaint, R. D. Shawn Blanton Characterizing Corruptibility of Logic Locks using ATPG. Search on Bibsonomy ITC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Liyang Lai, Kun-Han Tsai, Huawei Li 0001 GPGPU-Based ATPG System: Myth or Reality? Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Yi-Cheng Kung, Kuen-Jong Lee, Sudhakar M. Reddy Generating Single- and Double-Pattern Tests for Multiple CMOS Fault Models in One ATPG Run. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Ayush Jain 0002, M. Tanjidur Rahman, Ujjwal Guin ATPG-Guided Fault Injection Attacks on Logic Locking. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
17Min-Chun Hu 0002, Zhan Gao, Santosh Malagi, Joe Swenton, Jos Huisken, Kees Goossens, Cheng-Wen Wu, Erik Jan Marinissen Tightening the Mesh Size of the Cell-Aware ATPG Net for Catching All Detectable Weakest Faults. Search on Bibsonomy ETS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Basim Shanyour, Spyros Tragoudas Broadside ATPG for Low Power Trojans Detection using Built-in Current Sensors. Search on Bibsonomy IOLTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Kuen-Wei Yeh, Jiun-Lang Huang DSSP-ATPG: A Deterministic Search-Space Parallel Test Pattern Generator. Search on Bibsonomy ITC-Asia The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Sujay Pandey, Zhiwei Liao, Shreyas Nandi, Sanya Gupta, Suriyaprakash Natarajan, Arani Sinha, Adit D. Singh, Abhijit Chatterjee SAT-ATPG Generated Multi-Pattern Scan Tests for Cell Internal Defects: Coverage Analysis for Resistive Opens and Shorts. Search on Bibsonomy ITC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Cheng-Hung Wu, Kuen-Jong Lee, Sudhakar M. Reddy An Efficient Diagnosis-Aware ATPG Procedure to Enhance Diagnosis Resolution and Test Compaction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Shih-An Hsieh, Ying-Hsu Wang, Ting-Yu Shen, Kuan-Yen Huang, Chia-Cheng Pai, Tsai-Chieh Chen, James Chien-Mo Li DR-Scan: Dual-Rail Asynchronous Scan DfT and ATPG. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Tianliang Xu, Chenxu Wang, Shiyao Zhao, Zhiquan Zhou, Min Luo, Xinsheng Wang A Novel ATPG Method to Increase Activation Probability of Hardware Trojan. Search on Bibsonomy PACRIM The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Kai-Hsun Chen, Ching-Yuan Chen, Jiun-Lang Huang Testability Measures Considering Circuit Reconvergence to Reduce ATPG Runtime. Search on Bibsonomy DDECS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Tobias Strauch An RTL ATPG Flow Using the Gate Inherent Fault (GIF) Model Applied on Non-, Standard- and Random-Access-Scan (RAS). Search on Bibsonomy DSD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Zhan Gao, Min-Chun Hu 0002, Joe Swenton, Santosh Malagi, Jos Huisken, Kees Goossens, Erik Jan Marinissen Optimization of Cell-Aware ATPG Results by Manipulating Library Cells' Defect Detection Matrices. Search on Bibsonomy ITC-Asia The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Danielle Duvalsaint, Zeye Liu 0001, Ananya Ravikumar, Ronald D. Blanton Characterization of Locked Sequential Circuits via ATPG. Search on Bibsonomy ITC-Asia The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Kai-Chieh Yang, Ming-Ting Lee, Chen-Hung Wu, James Chien-Mo Li ATPG and Test Compression for Probabilistic Circuits. Search on Bibsonomy VLSI-DAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Danielle Duvalsaint, Xiaoxiao Jin, Benjamin Niewenhuis, R. D. (Shawn) Blanton Characterization of Locked Combinational Circuits via ATPG. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Apik Zorian, Basim Shanyour, Milir Vaseekar Machine Learning-Based DFT Recommendation System for ATPG QOR. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17Peikun Wang, Conrad J. Moore, Amir Masoud Gharehbaghi, Masahiro Fujita An ATPG Method for Double Stuck-At Faults by Analyzing Propagation Paths of Single Faults. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Marcello Traiola, Arnaud Virazel, Patrick Girard 0001, Mario Barbareschi, Alberto Bosio On the Comparison of Different ATPG Approaches for Approximate Integrated Circuits. Search on Bibsonomy DDECS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Kashyap R. Adithya, S. Gayathri Study on LBIST and comparisons with ATPG. Search on Bibsonomy ICACCI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Rohini Gulve, Virendra Singh ATPG power guards: On limiting the test power below threshold. Search on Bibsonomy DATE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Animesh Basak Chowdhury, Ansuman Banerjee, Bhargab B. Bhattacharya ATPG Binning and SAT-Based Approach to Hardware Trojan Detection for Safety-Critical Systems. Search on Bibsonomy NSS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Yi-Cheng Kung, Kuen-Jong Lee, Sudhakar M. Reddy Generating Compact Test Patterns for Stuck-at Faults and Transition Faults in One ATPG Run. Search on Bibsonomy ITC-Asia The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Abhrajit Sengupta, Mohammed Thari Nabeel, Muhammad Yasin, Ozgur Sinanoglu ATPG-based cost-effective, secure logic locking. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Yingdi Liu, Janusz Rajski, Sudhakar M. Reddy, Jedrzej Solecki, Jerzy Tyszer Staggered ATPG with capture-per-cycle observation test points. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Yu-Wei Chen, Yu-Hao Ho, Chih-Ming Chang, Kai-Chieh Yang, Ming-Ting Li, James Chien-Mo Li Parallel order ATPG for test compaction. Search on Bibsonomy VLSI-DAT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Yi-Cheng Kung, Kuen-Jong Lee, Sudhakar M. Reddy Generating Compact Test Patterns for DC and AC Faults Using One ATPG Run. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Jonathan Cruz 0001, Farimah Farahmandi, Alif Ahmed, Prabhat Mishra 0001 Hardware Trojan Detection Using ATPG and Model Checking. Search on Bibsonomy VLSID The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Yusuke Matsunaga An Accelerating Technique for SAT-based ATPG. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Pascal Raiola, Jan Burchard, Felix Neubauer, Dominik Erb, Bernd Becker 0001 Evaluating the Effectiveness of D-chains in SAT-based ATPG and Diagnostic TPG. Search on Bibsonomy J. Electron. Test. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Naixing Wang, Bo Yao, Xijiang Lin, Irith Pomeranz Functional Broadside Test Generation Using a Commercial ATPG Tool. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Jan Burchard, Dominik Erb, Adit D. Singh, Sudhakar M. Reddy, Bernd Becker 0001 Fast and waveform-accurate hazard-aware SAT-based TSOF ATPG. Search on Bibsonomy DATE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Robert Hülle, Petr Fiser, Jan Schmidt SAT-Based ATPG for Zero-Aliasing Compaction. Search on Bibsonomy DSD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Farzaneh Zokaee, Hossein Sabaghian Bidgoli, Vahid Janfaza, Payman Behnam, Zainalabedin Navabi A novel SAT-based ATPG approach for transition delay faults. Search on Bibsonomy HLDVT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Seetal Potluri, Aaron Mathew, Rambabu Nerukonda, Ismed Hartanto, Shahin Toutounchi Cell-Aware ATPG to Improve Defect Coverage for FPGA IPs and Next Generation Zynq® MPSoCs. Search on Bibsonomy ATS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Yoichi Maeda, Jun Matsushima, Ron Press Automotive IC On-line Test Techniques and the Application of Deterministic ATPG-Based Runtime Test. Search on Bibsonomy ATS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Tobias Strauch A Novel RTL ATPG Model Based on Gate Inherent Faults of Complex Gates. Search on Bibsonomy MBMV The full citation details ... 2017 DBLP  BibTeX  RDF
17Po-Yao Chuang, Cheng-Wen Wu, Harry H. Chen Cell-aware test generation time reduction by using switch-level ATPG. Search on Bibsonomy ITC-Asia The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Jan Burchard, Felix Neubauer, Pascal Raiola, Dominik Erb, Bernd Becker 0001 Evaluating the effectiveness of D-chains in SAT-based ATPG. Search on Bibsonomy LATS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Deepakreddy Vontela, Swaroop Ghosh Methodologies to exploit ATPG tools for de-camouflaging. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Wilson Pradeep, Prakash Narayanan, Rubin A. Parekhji An optimised SDD ATPG and SDQL computation method across different pattern sets. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Arani Sinha, Sujay Pandey, Ayush Singhal, Alodeep Sanyal, Alan Schmaltz DFM-aware fault model and ATPG for intra-cell and inter-cell defects. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Stephan Eggersglüß, Kenneth Schmitz, Rene Krenz-Baath, Rolf Drechsler On Optimization-Based ATPG and Its Application for Highly Compacted Test Sets. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Ashok Kumar Palaniswamy, Spyros Tragoudas, Themistoklis Haniotakis ATPG for Delay Defects in Current Mode Threshold Logic Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Kuen-Wei Yeh, Jiun-Lang Huang, Laung-Terng Wang CPP-ATPG: A Circular Pipeline Processing Based Deterministic Parallel Test Pattern Generator. Search on Bibsonomy J. Electron. Test. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Tobias Strauch A Novel RTL ATPG Model Based on Gate Inherent Faults (GIF-PO) of Complex Gates. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
17Stephen K. Sunter, Jean-Francois Cote, Jeff Rearick Streaming Access to ADCs and DACs for Mixed-Signal ATPG. Search on Bibsonomy IEEE Des. Test The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Marek Lipovský, Ján Svarc, Elena Gramatová, Petr Fiser A new user-friendly ATPG platform for digital circuits. Search on Bibsonomy DDECS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Lamya G. Ali, Aziza I. Hussein, Hanafy M. Ali Parallelization of unit propagation algorithm for SAT-based ATPG of digital circuits. Search on Bibsonomy ICM The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Karsten Scheibler, Dominik Erb, Bernd Becker 0001 Accurate CEGAR-based ATPG in presence of unknown values for large industrial designs. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
17Stavros Hadjitheophanous, Stelios N. Neophytou, Maria K. Michael Utilizing shared memory multi-cores to speed-up the ATPG process. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Dominik Erb, Karsten Scheibler, Michael A. Kochte, Matthias Sauer 0002, Hans-Joachim Wunderlich, Bernd Becker 0001 Mixed 01X-RSL-Encoding for fast and accurate ATPG with unknowns. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Karsten Scheibler, Dominik Erb, Bernd Becker 0001 Applying Tailored Formal Methods to X-ATPG. Search on Bibsonomy MBMV The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Cheng-Hung Wu, Kuen-Jong Lee Transformation of multiple fault models to a unified model for ATPG efficiency enhancement. Search on Bibsonomy ITC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Muralidharan Venkatasubramanian, Vishwani D. Agrawal Database Search and ATPG - Interdisciplinary Domains and Algorithms. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Christelle Hobeika, Claude Thibeault, Jean-François Boland Functional Constraint Extraction From Register Transfer Level for ATPG. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Tieqiao Liu, Yingbo Zhou, Yi Liu, Shuo Cai Harzard-Based ATPG for Improving Delay Test Quality. Search on Bibsonomy J. Electron. Test. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Anu Asokan, Alberto Bosio, Arnaud Virazel, Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch An ATPG Flow to Generate Crosstalk-Aware Path Delay Pattern. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Gregory Ford, Aswin Krishna, Jacob A. Abraham, Daniel G. Saab Formal Verification ATPG Search Engine Emulator (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Gustavo K. Contreras, Nisar Ahmed, LeRoy Winemberg, Mark M. Tehranipoor Predictive LBIST model and partial ATPG for seed extraction. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Gustavo K. Contreras, Yang Zhao, Nisar Ahmed, LeRoy Winemberg, Mohammad Tehranipoor LBIST pattern reduction by learning ATPG test cube properties. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Masahiro Fujita, Naoki Taguchi, Kentaro Iwata, Alan Mishchenko Incremental ATPG methods for multiple faults under multiple fault models. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Dominik Erb, Karsten Scheibler, Matthias Sauer 0002, Sudhakar M. Reddy, Bernd Becker 0001 Multi-cycle Circuit Parameter Independent ATPG for interconnect open defects. Search on Bibsonomy VTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Ang-Feng Lin, Kuan-Yu Liao, Kuan-Ying Chiang, James Chien-Mo Li TARGET: Timing-AwaRe Gate Exhaustive Transition ATPG for cell-internal defects. Search on Bibsonomy VLSI-DAT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Stephen K. Sunter, Jean-Francois Cote, Jeff Rearick Streaming fast access to ADCs and DACs for mixed-signal ATPG. Search on Bibsonomy ITC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Alberto Bosio, Luigi Dilillo, Patrick Girard 0001, Arnaud Virazel, Paolo Bernardi, Matteo Sonza Reorda An effective ATPG flow for Gate Delay Faults. Search on Bibsonomy DTIS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Sybille Hellebrand, Hans-Joachim Wunderlich SAT-based ATPG beyond stuck-at fault testing. Search on Bibsonomy it Inf. Technol. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Mark Kassab, Benoit Nadeau-Dostie, Xijiang Lin Timing-Aware ATPG. Search on Bibsonomy Testing for Small-Delay Defects in Nanoscale CMOS Integrated Circuits The full citation details ... 2014 DBLP  BibTeX  RDF
17Carolina Metzler, Aida Todri-Sanial, Alberto Bosio, Luigi Dilillo, Patrick Girard 0001, Arnaud Virazel Timing-aware ATPG for critical paths with multiple TSVs. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Kareem Habib, Mona Safar, Mohamed Dessouky, Ashraf Salem Don't cares based dynamic test vector compaction in SAT-ATPG. Search on Bibsonomy MWSCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Dominik Erb, Karsten Scheibler, Matthias Sauer 0002, Bernd Becker 0001 Efficient SMT-based ATPG for interconnect open defects. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Matthias Sauer 0002, Ilia Polian, Michael E. Imhof, Abdullah Mumtaz, Eric Schneider, Alexander Czutro, Hans-Joachim Wunderlich, Bernd Becker 0001 Variation-aware deterministic ATPG. Search on Bibsonomy ETS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Fan Yang 0060, Sreejit Chakravarty, Arun Gunda, Nicole Wu, Jianyu Ning Silicon Evaluation of Cell-Aware ATPG Tests and Small Delay Tests. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 939 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license