The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for cache with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1978 (15) 1979-1981 (20) 1982-1983 (21) 1984-1985 (28) 1986 (23) 1987 (35) 1988 (61) 1989 (73) 1990 (96) 1991 (85) 1992 (81) 1993 (130) 1994 (154) 1995 (188) 1996 (193) 1997 (259) 1998 (253) 1999 (352) 2000 (385) 2001 (377) 2002 (510) 2003 (560) 2004 (726) 2005 (744) 2006 (745) 2007 (796) 2008 (715) 2009 (674) 2010 (441) 2011 (398) 2012 (414) 2013 (427) 2014 (471) 2015 (502) 2016 (590) 2017 (657) 2018 (688) 2019 (648) 2020 (562) 2021 (514) 2022 (475) 2023 (474) 2024 (106)
Publication types (Num. hits)
article(4878) book(11) data(2) incollection(53) inproceedings(10541) phdthesis(180) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(720) ISCA(311) IEEE Trans. Computers(298) MICRO(222) HPCA(220) ICCD(208) DATE(202) IPDPS(182) IEEE Trans. Parallel Distribut...(169) ICS(130) IEEE Access(130) DAC(125) PACT(123) ICPP(122) IEEE Trans. Very Large Scale I...(118) ASPLOS(113) More (+10 of total 2245)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 9462 occurrences of 2787 keywords

Results
Found 15666 publication records. Showing 15666 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
39Yen-Jen Chang, Feipei Lai Paged cache: an efficient partition architecture for reducing power, area and access time. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
39Huesung Kim, Arun K. Somani, Akhilesh Tyagi A reconfigurable multifunction computing cache architecture. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
39Anurag Kahol, Sumit Khurana, Sandeep K. S. Gupta, Pradip K. Srimani A Strategy to Manage Cache Consistency in a Disconnected Distributed Environment. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF mobile computing, performance analysis, Caching, data consistency, client-server computing
39Vasily G. Moshnyaga, Hiroshi Tsuji Cache energy reduction by dual voltage supply. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
39Jun Rao, Kenneth A. Ross Making B+-Trees Cache Conscious in Main Memory. Search on Bibsonomy SIGMOD Conference The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
39Erik G. Hallnor, Steven K. Reinhardt A fully associative software-managed cache design. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
39Erich M. Nahum, David J. Yates, James F. Kurose, Donald F. Towsley Cache Behavior of Network Protocols. Search on Bibsonomy SIGMETRICS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Internet
39Jochen Liedtke, Hermann Härtig, Michael Hohmuth OS-Controlled Cache Predictability for Real-Time Systems. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
39Alan Jay Smith Disk Cache-Miss Ratio Analysis and Design Considerations Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
39Kenneth W. Batcher, Robert A. Walker 0001 Cluster miss prediction for instruction caches in embedded networking applications. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF compulsory cache misses, hiding memory latency, embedded systems, networking, WCET, cache design, cache prefetch
39Mahmut T. Kandemir, Taylan Yemliha, Sai Prashanth Muralidhara, Shekhar Srikantaiah, Mary Jane Irwin, Yuanrui Zhang Cache topology aware computation mapping for multicores. Search on Bibsonomy PLDI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF compiler, cache, multicore, topology-aware, multi-level
39Ramya Prabhakar, Shekhar Srikantaiah, Mahmut T. Kandemir, Christina M. Patrick Adaptive multi-level cache allocation in distributed storage architectures. Search on Bibsonomy ICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF SLO, I/O, multi-server, multi-level, storage cache
39Mohamed Zahran 0001, Sally A. McKee Global management of cache hierarchies. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF memory hierarchy, cache memory
39Mohamed M. Sabry, Martino Ruggiero, Pablo García Del Valle Performance and energy trade-offs analysis of L2 on-chip cache architectures for embedded MPSoCs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-core, virtual platform, L2 cache
39Mary Magdalene Jane F., Ilayaraja N., Ashwin Raghav M., R. Nadarajan, Maytham Safar Entry and exit probabilities based cache replacement policy for location dependent data in mobile environments. Search on Bibsonomy MoMM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF location-dependent information services, performance evaluation, mobile computing, cache replacement
39Mary Magdalene Jane F., Ilayaraja N., Ashwin Raghav M., R. Nadarajan, Maytham Safar Cache prefetch and replacement with dual valid scopes for location dependent data in mobile environments. Search on Bibsonomy iiWAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF location-dependent information services, performance evaluation, mobile computing, cache replacement
39Jie Tao 0001, Marcel Kunze, Fabian Nowak, Rainer Buchty, Wolfgang Karl Performance Advantage of Reconfigurable Cache Design on Multicore Processor Systems. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Simulation, Reconfigurable architecture, Multicore processor, Cache performance
39Houman Homayoun, Mohammad A. Makhzan, Alexander V. Veidenbaum Multiple sleep mode leakage control for cache peripheral circuits in embedded processors. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multiple sleep mode, peripheral circuits, cache, embedded processor, leakage power
39Yeim-Kuan Chang, Yi-Wei Ting, Tai-Hong Lin Dynamic Cache Invalidation Scheme in IR-Based Wireless Environments. Search on Bibsonomy AINA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF wireless networks, dynamic, latency, cache consistency, invalidation report
39Maziar Goudarzi, Tohru Ishihara, Hamid Noori Variation-Aware Software Techniques for Cache Leakage Reduction Using Value-Dependence of SRAM Leakage Due to Within-Die Process Variation. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF process variation, cache memory, Leakage power, power reduction
39Ilya Gluhovsky, David Vengerov, Brian O'Krafka Comprehensive multivariate extrapolation modeling of multiprocessor cache miss rates. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF queuing models, extrapolation, isotonic regression, Additive models, cache miss rates
39Gerth Stølting Brodal, Rolf Fagerberg, Kristoffer Vinther Engineering a cache-oblivious sorting algorithm. Search on Bibsonomy ACM J. Exp. Algorithmics The full citation details ... 2007 DBLP  DOI  BibTeX  RDF funnelsort, quicksort, Cache-oblivious algorithms
39Binny S. Gill, Luis Angel D. Bathen Optimal multistream sequential prefetching in a shared cache. Search on Bibsonomy ACM Trans. Storage The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Adaptive prefetching, asynchronous prefetching, degree of prefetch, fixed prefetching, multistream read, optimal prefetching, prefetch wastage, prestaging, sequential prefetching, synchronous prefetching, trigger distance, cache pollution
39Ajey Kumar, Manoj Misra, Anil Kumar Sarje A weighted cache replacement policy for location dependent data in mobile environments. Search on Bibsonomy SAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF mobile computing, cache replacement, location dependent data
39Hamid Noori, Maziar Goudarzi, Koji Inoue, Kazuaki J. Murakami The effect of temperature on cache size tuning for low energy embedded systems. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded systems, cache memory, low energy, leakage current, temperature-aware design
39Hui Chen 0001, Yang Xiao 0001, Xuemin Shen Update-Based Cache Access and Replacement in Wireless Data Access. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF wireless network, access, update, Cache replacement policy
39Moon-Hee Choi, Woo-Chan Park, Francis Neelamkavil, Tack-Don Han, Shin-Dug Kim An Effective Visibility Culling Method Based on Cache Block. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF visible/surface algorithms, Computer graphics, cache memories, graphics processors
39Bingsheng He, Qiong Luo 0001 Cache-oblivious nested-loop joins. Search on Bibsonomy CIKM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nested-loop join, recursive clustering, buffering, cache-oblivious, recursive partitioning
39Lei Jin 0002, Hyunjin Lee, Sangyeun Cho A flexible data to L2 cache mapping approach for future multicore processors. Search on Bibsonomy Memory System Performance and Correctness The full citation details ... 2006 DBLP  DOI  BibTeX  RDF non-uniform cache architecture (NUCA), page allocation
39Hoon-Mo Yang, Gi-Ho Park, Shin-Dug Kim Low-Power Data Cache Architecture by Address Range Reconfiguration for Multimedia Applications. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF embedded system, low-power, multimedia application, cache architecture
39Dong Hyuk Woo, Mrinmoy Ghosh, Emre Özer 0001, Stuart Biles, Hsien-Hsin S. Lee Reducing energy of virtual cache synonym lookup using bloom filters. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low power, cache, bloom filter, synonym
39Anca Mariana Molnos, Sorin Dan Cotofana, Marc J. M. Heijligers, Jos T. J. van Eijndhoven Static cache partitioning robustness analysis for embedded on-chip multi-processors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF robustness, cache partitioning, multi-processors
39Xin Chen 0034, Haining Wang, Shansi Ren DNScup: Strong Cache Consistency Protocol for DNS. Search on Bibsonomy ICDCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Middleware, Cache Consistency, Domain Name System, Lease, Service Availability
39Xiaoyu Yao, Jun Wang 0001 RIMAC: a novel redundancy-based hierarchical cache architecture for energy efficient, high performance storage systems. Search on Bibsonomy EuroSys The full citation details ... 2006 DBLP  DOI  BibTeX  RDF power management, cache management, disk storage
39Jingling Xue, Xavier Vera Efficient and Accurate Analytical Modeling of Whole-Program Data Cache Behavior. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF performance evaluation, analytical modeling, cache memories, data locality, Modeling techniques
39Chuanjun Zhang, Frank Vahid, Jun Yang 0002, Walid A. Najjar A way-halting cache for low-energy high-performance systems. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low power techniques, cache design
39Huiyang Zhou, Mark C. Toburen, Eric Rotenberg, Thomas M. Conte Adaptive mode control: A static-power-efficient cache design. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF adaptive mode control, Cache, static power
39Jong-Deok Kim, Chongkwon Kim Response Time Analysis in a Data Broadcast System with User Cache. Search on Bibsonomy Telecommun. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF push-based data broadcast, linked data model, cache, broadcast schedule
39Jie S. Hu, A. Nadgir, Narayanan Vijaykrishnan, Mary Jane Irwin, Mahmut T. Kandemir Exploiting program hotspots and code sequentiality for instruction cache leakage management. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF leakage power, cache design
39Carlos Molina, Carles Aliagas, Montse Garcia 0002, Antonio González 0001, Jordi Tubella Non redundant data cache. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF value replication, low power, compression, cache memories
39Tony Givargis Improved indexing for cache miss reduction in embedded systems. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF index hashing, design space exploration, cache optimization
39Yeonseung Ryu, Kyoungwoon Cho, Youjip Won, Kern Koh Intelligent Buffer Cache Management in Multimedia Data Retrieval. Search on Bibsonomy ISMIS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Multimedia File System, Looping Reference, Buffer Cache
39Ravi R. Iyer 0001, Laxmi N. Bhuyan Design and Evaluation of a Switch Cache Architecture for CC-NUMA Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF scalable interconnects, shared memory multiprocessors, wormhole routing, execution-driven simulation, Crossbar switches, cache architectures
39Martin Kämpe, Fredrik Dahlgren Exploration of the Spatial Locality on Emerging Applications and the Consequences for Cache Performance. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF cache performance, Spatial locality
39Derek L. Howard, Mikko H. Lipasti The Effect of Program Optimization on Trace Cache Efficiency. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF compiler optimization, Microarchitecture, superscalar processors, trace cache
39Hanoch Levy, Ted Messinger, Robert J. T. Morris The Cache Assignment Problem and Its Application to Database Buffer Management. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF stack depth processes, Cache, memory hierarchy, LRU, database performance
39Ricardo Bianchini, Leonidas I. Kontothanassis Algorithms for categorizing multiprocessor communication under invalidate and update-based coherence protocols. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF shared-memory multiprocessor communication, invalidate-based cache coherence protocols, update-based cache coherence protocols, reference patterns, sharing patterns, useless data traffic, data traffic categorization, parallel programming, parallel programs, virtual machines, transaction processing, shared memory systems, coherence, cache storage, telecommunication traffic, cache misses, simulation algorithms, update transactions, memory protocols
39Sorin Faibish, Peter Bixby, John Forecast, Philippe Armangau, Sitaram Pawar A new approach to file system cache writeback of application data. Search on Bibsonomy SYSTOR The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cache writeback, dirty pages, rate flushing, watermark flushing, feedback loop, buffer cache
39Yadan Deng, Ning Jing, Wei Xiong 0010, Chen Luo, Hongsheng Chen Hash Join Optimization Based on Shared Cache Chip Multi-processor. Search on Bibsonomy DASFAA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Radix-Join, Shared L2-Cache, Chip Multi-Processor, Cache Conflict
39Pablo Viana, Ann Gordon-Ross, Edna Barros, Frank Vahid A table-based method for single-pass cache optimization. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF configurable cache tuning, low energy, cache optimization
39Abu Asaduzzaman, Imad Mahgoub Cache modeling and optimization for portable devices running MPEG-4 video decoder. Search on Bibsonomy Multim. Tools Appl. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MPEG-4, Cache optimization, Portable devices, Cache modeling, Video decoder
39Muhammad Shaaban, Edward Mulrane Improving trace cache hit rates using the sliding window fill mechanism and fill select table. Search on Bibsonomy Memory System Performance The full citation details ... 2004 DBLP  DOI  BibTeX  RDF branch promotion, fetch mechanisms, fill mechanisms, superscalar processors, cache performance, trace cache
39Wei Zhang 0002, Mahmut T. Kandemir, Anand Sivasubramaniam, Mary Jane Irwin Performance, energy, and reliability tradeoffs in replicating hot cache lines. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF cache reliability, line replication, cache memories, leakage power
39Baihua Zheng, Jianliang Xu, Dik Lun Lee Cache Invalidation and Replacement Strategies for Location-Dependent Data in Mobile Environments. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF location-dependent information, performance evaluation, Mobile computing, cache replacement, semantic caching, cache invalidation
39Michael A. Frumkin, Rob F. Van der Wijngaart Tight bounds on cache use for stencil operations on rectangular grids. Search on Bibsonomy J. ACM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF fundamental parallelepiped, lower and upper bounds, reduced basis, structured grids, scientific computing, lattice, Cache memory, cache misses
39Jian Yin 0002, Lorenzo Alvisi, Michael Dahlin, Arun Iyengar Engineering web cache consistency. Search on Bibsonomy ACM Trans. Internet Techn. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF scalability, Cache coherence, cache consistency, dynamic content, volume, lease
39Nicholas Nethercote, Alan Mycroft The cache behaviour of large lazy functional programs on stock hardware. Search on Bibsonomy MSP/ISMM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Glasgow Haskell Compiler, cache measurement, Haskell, Haskell, cache simulation, hardware counters, branch misprediction
39Xavier Vera, Jingling Xue Let's Study Whole-Program Cache Behaviour Analytically. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Cache Miss Equations, Performance Evaluation, Data Locality, Cache Performance
39Frank Vahid, Susan Cotterell Tuning of Loop Cache Architectures to Programs in Embedded System Design. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF customized architectures, embedded systems, low power, synthesis, memory hierarchy, cores, low energy, tuning, instruction fetching, architecture tuning, loop cache, filter cache
39Rita Cucchiara, Massimo Piccardi, Andrea Prati 0001 Hardware Prefetching Techniques for Cache Memories in Multimedia Applications. Search on Bibsonomy CAMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF hardware prefetching, cache memory organization, multimedia image processing programs, MPEG-2 decoding, edge chain coding, image processing, multimedia, kernels, multimedia applications, cache memories
39Preeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau Memory data organization for improved cache performance in embedded processor applications. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF system design, cache memory, data cache, system synthesis, memory synthesis
39José V. Busquets-Mataix, Juan José Serrano, Rafael Ors, Pedro J. Gil, Andy J. Wellings Using harmonic task-sets to increase the schedulable utilization of cache-based preemptive real-time systems. Search on Bibsonomy RTCSA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF harmonic task-sets, schedulable utilization, preemptive real-time systems, better performance, cache-related preemption cost, Response Time schedulability Analysis, real-time systems, cache memories, worst-case execution time, schedulability analysis
39Chang-Gun Lee, Joosun Hahn, Sang Lyul Min, Rhan Ha, Seongsoo Hong, Chang Yun Park, Minsuk Lee, Chong-Sang Kim Analysis of cache-related preemption delay in fixed-priority preemptive scheduling. Search on Bibsonomy RTSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF cache-related preemption delay, unpredictable variation, task execution time, per-task analysis, preemption cost, execution point, linear programming technique, experimental results, cache storage, worst case response time, fixed-priority preemptive scheduling
39Ralf Kattner, M. Eger, Christian Müller-Schloer Modeling Cache Coherence Overhead with Geometric Objects. Search on Bibsonomy CONPAR The full citation details ... 1994 DBLP  DOI  BibTeX  RDF cache coherence verhead, cache coherence block size, modeling, Shared memory multiprocessor, geometric objects
39Mark S. Squillante, Edward D. Lazowska Using Processor-Cache Affinity Information in Shared-Memory Multiprocessor Scheduling. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF processor-cache affinity information, shared-memorymultiprocessor scheduling, quantum expiration, meanvalue analysis, analytic cache model, queueingtheory, scheduling, performance evaluation, synchronization, shared memory systems, buffer storage, I/O, preemption, queueing network models
39Sang Lyul Min, Jean-Loup Baer Design and Analysis of a Scalable Cache Coherence Scheme Based on Clocks and Timestamps. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF cache contents reuse, scalable cache coherence, multiple privatecaches, compile-time marking, hardware-based local incoherence detection, program flow, parallel programming, shared memory multiprocessors, storage management, clocks, trace-driven simulation, buffer storage, timestamps, references
39Dimitrios Stiliadis, Anujan Varma Selective Victim Caching: A Method to Improve the Performance of Direct-Mapped Caches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1997 DBLP  DOI  BibTeX  RDF data cache, instruction cache, cache simulation, Victim cache, direct-mapped cache
38Li Fan, Pei Cao, Jussara M. Almeida, Andrei Z. Broder Summary Cache: A Scalable Wide-Area Web Cache Sharing Protocol. Search on Bibsonomy SIGCOMM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF World Wide Web (WWW)
38Rajiv Gupta 0001, Chi-Hung Chi Improving instruction cache behavior by reducing cache pollution. Search on Bibsonomy SC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
38Nigel P. Topham, Antonio González 0001, José González 0002 The Design and Performance of a Conflict-Avoiding Cache. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF cache architecture design, conflict miss ratios, conflict-avoiding cache performance, data access cost minimization, high performance architectures, multi-level memory hierarchies, polynomial modulus functions, cache storage, main memory
38Gabriele Luculli, Marco Di Natale A cache-aware scheduling algorithm for embedded systems. Search on Bibsonomy RTSS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF cache aware scheduling algorithm, task layout, static systems, cache miss costs, normal execution time, time driven dispatching, application tasks, pre defined sequence, optimal cache sequencing, simulated annealing techniques, real-time systems, embedded systems, execution time, computation time, instruction caching, real time task scheduling, scheduling model
38Chi-Hung Chi, Siu-Chung Lau Reducing data access penalty using intelligent opcode-driven cache prefetching. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF data access penalty, intelligent opcode-driven, LOAD-UPDATE, LOAD-MODIFY, IBM PowerPC, HP Precision Architecture, intelligent data prefetching, instruction decode unit, storage management, data cache, cache storage, cache prefetching
38Ann Gordon-Ross, Jeremy Lau, Brad Calder Phase-based cache reconfiguration for a highly-configurable two-level cache hierarchy. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cache tuning, phase prediction, phase-based reconfiguration, phase-based tuning, caches, configurable caches, configurable architecture
38Lynn Choi, Pen-Chung Yew Compiler Analysis for Cache Coherence: Interprocedural Array Data-Flow Analysis and Its Impact on Cache Performance. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Compiler, shared-memory multiprocessors, data-flow analysis, cache coherence, interprocedural analysis
38Qing Yang 0001 Introducing a New Cache Design into Vector Computers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF prime-mapped cache, cache miss ratio, speed gap, memory architecture, buffer storage, cache design, performance gains, vector processor systems, Mersenne prime, cache organizations, vector computers
35Soontae Kim Reducing Area Overhead for Error-Protecting Large L2/L3 Caches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
35Uwe Röhm, Sebastian Schmidt Freshness-Aware Caching in a Cluster of J2EE Application Servers. Search on Bibsonomy WISE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Ayose Falcón, Alex Ramírez, Mateo Valero Effective Instruction Prefetching via Fetch Prestaging. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Ashutosh S. Dhodapkar, James E. Smith 0001 Tuning Reconfigurable Microarchitectures for Power Efficiency. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35K. Basu, Alok N. Choudhary, Jayaprakash Pisharath, Mahmut T. Kandemir Power protocol: reducing power dissipation on off-chip data buses. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Edith Cohen, Eran Halperin, Haim Kaplan Performance Aspects of Distributed Caches Using TTL-Based Consistency. Search on Bibsonomy ICALP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35John Chapin, Stephen Alan Herrod, Mendel Rosenblum, Anoop Gupta Memory System Performance of UNIX on CC-NUMA Multiprocessors. Search on Bibsonomy SIGMETRICS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
35Hong Wang 0003, Tong Sun, Qing Yang 0001 CAT - Caching Address Tags: A Technique for Reducing Area Cost of On-Chip Caches. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
35M. Morioka S. Yamaguchi, T. Bandoh: Evaluation of Memory System for Integrated Prolog Processor IPP. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF Prolog
35Zhiguo Ge, Tulika Mitra, Weng-Fai Wong A DVS-based pipelined reconfigurable instruction memory. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reconfigurable memory, low power, instruction cache
35Theo Härder, Andreas Bühmann Value complete, column complete, predicate complete. Search on Bibsonomy VLDB J. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cache constraints, Predicate completeness, Query processing, Database caching
35Peng Li 0031, Dongsheng Wang 0002, Haixia Wang 0001, Meijuan Lu, Weimin Zheng LIRAC: Using Live Range Information to Optimize Memory Access. Search on Bibsonomy ARCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF LIRAC, Live Range, Cache, Memory Hierarchy, Write Buffer
35Yi Zhang, Steve Haga, Rajeev Barua Execution History Guided Instruction Prefetching. Search on Bibsonomy J. Supercomput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hardware prefetching, instruction cache, memory latency, instruction prefetching
35Murali Annavaram, Jignesh M. Patel, Edward S. Davidson Call graph prefetching for database applications. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Instruction cache prefetching, database, call graph
35Yi Zhang, Steve Haga, Rajeev Barua Execution history guided instruction prefetching. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF performance, prefetching, hardware, instruction cache
35Zhigang Hu, Margaret Martonosi, Stefanos Kaxiras Timekeeping in the Memory System: Predicting and Optimizing Memory Behavior. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF memory hierachy, time-based techniques, timekeeping prefetching, conflict miss identification, dead block prediction, victim cache filtering
35Naveen Muralimanohar, Rajeev Balasubramonian, Norman P. Jouppi Optimizing NUCA Organizations and Wiring Alternatives for Large Caches with CACTI 6.0. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF non-uniform cache archi- tectures (NUCA), on-chip intercon- nects, memory hierarchies, cache models
35David K. Tam, Reza Azimi, Michael Stumm Thread clustering: sharing-aware scheduling on SMP-CMP-SMT multiprocessors. Search on Bibsonomy EuroSys The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache behavior, detecting sharing, performance monitoring unit, single-chip multiprocessors, thread placement, resource allocation, CMP, multithreading, sharing, SMP, simultaneous multithreading, SMT, shared caches, cache locality, thread scheduling, thread migration, hardware performance monitors, hardware performance counters, affinity scheduling
35Onur Mutlu, Hyesoon Kim, David N. Armstrong, Yale N. Patt Using the First-Level Caches as Filters to Reduce the Pollution Caused by Speculative Memory References. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache filtering, speculative memory references, Caches, runahead execution, cache pollution
35Kuang-Chih Liu, Chung-Ta King A Performance Study on Bounteous Transfer in Multiprocessor Sectored Caches. Search on Bibsonomy J. Supercomput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF sectored cache, partial block invalidation, multiprocessor, Cache coherence, data prefetching, false sharing
35Hyunhee Kim, Jung Ho Ahn, Jihong Kim 0001 Replication-aware leakage management in chip multiprocessors with private L2 cache. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF leakage power management, chip multiprocessors, L2 caches
35Jingfei Kong, Onur Aciiçmez, Jean-Pierre Seifert, Huiyang Zhou Hardware-software integrated approaches to defend against software cache-based side channel attacks. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
35Mehrtash Manoochehri, Alireza Ejlali, Seyed Ghassem Miremadi Fault Tolerant and Low Energy Write-Back Heterogeneous Set Associative Cache for DSM Technologies. Search on Bibsonomy ARES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
35Javier Lira, Carlos Molina, Antonio González 0001 Last Bank: Dealing with Address Reuse in Non-Uniform Cache Architecture for CMPs. Search on Bibsonomy Euro-Par The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
35Frank E. B. Ophelders, Marco Bekooij, Henk Corporaal A tuneable software cache coherence protocol for heterogeneous MPSoCs. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance, design, reliability
Displaying result #401 - #500 of 15666 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license